mengubah frekuensi kecematan motor dc

99
1 PENGUBAH KECEPATAN PUTAR MOTOR DC MENJADI TEGANGAN DC PADA APLIKASI PENGATUR KECEPATAN PUTAR MOTOR DC TUGAS AKHIR Diajukan Untuk Memenuhi Salah Satu Syarat Memperoleh Gelar Sarjana Teknik Program Studi Teknik Elektro Disusun Oleh: A. WAHYU WIDODO NIM: 005114013 PROGRAM STUDI TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS SANATA DHARMA YOGYAKARTA 2007

Upload: others

Post on 03-Oct-2021

9 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

1

PENGUBAH KECEPATAN PUTAR MOTOR DC

MENJADI TEGANGAN DC PADA APLIKASI

PENGATUR KECEPATAN PUTAR MOTOR DC

TUGAS AKHIR Diajukan Untuk Memenuhi Salah Satu Syarat

Memperoleh Gelar Sarjana Teknik

Program Studi Teknik Elektro

Disusun Oleh:

A. WAHYU WIDODO

NIM: 005114013

PROGRAM STUDI TEKNIK ELEKTRO

FAKULTAS TEKNIK

UNIVERSITAS SANATA DHARMA

YOGYAKARTA

2007

Page 2: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

2

CONVERTER OF DC MOTOR ROTATION SPEED TO DC VOLTAGE

IN APPLICATION OF DC MOTOR ROTATION SPEED

CONTROLLER

FINAL PROJECT

Presented as a partial fulfillment of the requirements for the degree of SARJANA

TEKNIK of Electrical Engineering Study Program

By:

A. WAHYU WIDODO

Student Number: 005114013

ELECTRICAL ENGINEERING STUDY PROGRAM ELECTRICAL ENGINEERING DEPARTMENT

ENGINEERING FACULTY SANATA DHARMA UNIVERSITY

YOGYAKARTA 2007

Page 3: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

3

Page 4: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

4

Page 5: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

5

Pernyataan Keaslian Karya

Saya menyatakan dengan sesungguhnya bahwa tugas akhir yang saya tulis ini

tidak memuat karya atau bagian karya orang lain, kecuali yang telah disebutkan

dalam kutipan dan daftar pustaka, sebagaimana layaknya karya ilmiah.

Yogyakarta, 31 Juli 2007

Penulis

A. Wahyu Widodo

Page 6: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

6

HALAMAN MOTTO DAN PERSEMBAHAN

“ Kegagalan dalam hidupku akan

menjadikanku mengerti apa arti dari hidup

yang sesungguhnya”

“ Janganlah kamu melangkah dalam

keraguan, karena akan membawamu dalam

kegagalan”

“ Never give up, cause life is too

beautiful for that”

KUPERSEMBAHKAN UNTUK

BAPA DI SURGA, PUTERANYA YESUS, DAN BUNDA MARIA

Aku percaya Engkau akan memberikan apa yang aku

minta jika aku meminta kepadaMU.

Ayahku Alm. V. Wahyudi, aku percaya engkau

selalu menemaniku disetiap langkahku.

Ibuku M. Gunarti,terima kasih atas dorongan dan

doa yang selalu mengiringi setiap langkahku.

Page 7: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

7

INTISARI

Motor dc merupakan komponen yang sering digunakan sebagai penggerak dalam alat industri maupun alat-alat lain yang membutuhkan penggerak. Untuk itu diperlukan alat pengukur kecepatan putaran motor dc sebagai pengontrol kinerja sistem penggerak tersebut. Selain itu dengan mengetahui besarnya kecepatan, pengaturan besarnya kecepatan dapat dilakukan dengan mudah.

Pengubah Kecepatan Putar Motor DC Menjadi Tegangan DC Pada Aplikasi Pengatur Kecepatan Putar Motor DC merupakan alat ukur yang terpasang pada motor DC yang dipakai untuk mengukur kecepatan putaran motor serta mengkonversi kecepatan putaran motor mnjadi tegangan dc. Alat ini menampilkan besaran kecepatan dalam rotation per minute (rpm), frekuensi putaran motor dalam HZ dengan 4 digit seven segment dan tegangan dc dalam volt dengan digital volt meter.

Alat ini terdiri dari beberapa bagian. Optocoupler sebagai sensor pendeteksi putaran motor, pencacah baik dekade maupun BCD, rangkaian pengunci, rangkaian konverter data digital menjadi data analog, rangkaian penguat, seven segment dan digital volt meter sebagai penampil.

Alat ini mampu mengubah kecepatan putar motor dc dengan jangkauan 1383 rpm sampai 2424 rpm menjadi tegangan keluaran dc 2.74 volt sampai 4.80 volt dengan kesalahan sebesar 2 %

Kata kunci: pengukur kecepatan putar, konversi frekuensi ke tegangan,

pengontrol kecepatan.

Page 8: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

8

ABSTRACT

The DC motor represents the component which is often used as an activator in industrial appliance and others, dissimilar appliance requiring activator. For that needed by the measuring instrument of DC motor rotation as controller of the performance system activator. Beside, given the level of speed, arrangement of its level of speed can be done easily.

Converter of DC Motor Rotation Speed to DC Voltage in Application of DC Motor Rotation Speed Controller is a measuring instrument attached at DC motor applied to measure motor rotation speed and convert it to DC voltage. The instrument present the level of speed in rotation per minute (rpm), motor rotation frequency in Hz with 4 digits of seven segments and DC voltage in volt with digital volt meter.

This instrument consisted of some parts. Optocoupler as sensor of motor rotation speed detector, decade and BCD counter, latch circuit, digital to analog converter, amplifier circuit, seven segment and digital volt meter as display of the level of speed.

This instrument was able to measure the rotation speed of the DC motor within the range of 1383 rpm to 2424 rpm resulting output DC voltage 2.74 volt to 4.80 volt with level of error 2 percent.

Keywords: measurement of rotation speed, Frequency conversion to voltage, speed controller

Page 9: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

9

KATA PENGANTAR

Puji Syukur penulis panjatkan kehadirat Tuhan Yang Maha Esa, oleh

karena pemimpinan dan penyertaannya sehingga penulis dapat menyelesaikan

Tugas Akhir yang berjudul” Pengubah Kecepatan Putar Motor DC Menjadi

Tegangan DC pada Aplikasi Pengatur Kecepatan Putar Motor DC”. Tugas Akhir

ini disusun sebagai salah satu syarat untuk memperoleh gelar sarjana Teknik

dalam penyusunannya, banyak pihak yang telah membantu dan memberikan

dukungan pada penulis, oleh karena itu penulis ingin mengucapkan terimakasih

kepada:

1. Bapak Agustinus Bayu Primawaan, S.T, M.Eng, selaku Ketua Jurusan Teknik

Elektro Fakultas Teknik Universitas Sanata Dharma Yogyakarta.

2. Bapak Martanto, ST., MT., Selaku Pembimbing yang telah membimbing

dalam penulisa Tugas Akhir.

3. Pimpinan Fakultas Teknik, Dosen-dosen Teknik Elektro dan karyawan

laboratorium TE yang sangat membantu penulis selam kuliah dan juga

penelitian.

4. Alm. Bapak, Ibu tercinta, terimakasih atas segala doa, bimbingan dan

perhatian.

5. Kakak dan adikku, Mas Adit, Mbak Tami, Bowo dan Keponakanku Bintang,

Terimakasih atas dukungan kalian.

6. Mbah Jondit, Terimakasih untuk semua perlengkapannya.

7. Teman-temanku: Robert Iwan, M. Prima Sigit; Si Boss, Andre, Joko, terima

kasih telah membantuku.

Page 10: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

10

8. Sahabat-sahabatku yang spesial: Rani, Reni, Lia, Frans, Cristy, Moko, kalian

telah memberikanku keceriaan dalam hidupku.

9. Semua pihak yang tidak bisa disebutkan satu persatu, terima kasih .

Penulis menyadari bahwa Tugas akhir ini masih jauh dari sempurna,

karena itu dengan segala kerendahan hati, kritikan dan saran yang membangun

dari semua pihak akan penulis terima dengan senang hati. Harapan penulis

semoga tugas akhir ini bermanfaat bagi para pembacanya.

Yogyakarta, 31 Juli 2007

Penulis

A. Wahyu Widodo

Page 11: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

11

DAFTAR ISI

Halaman

HALAMAN JUDUL........................................................................................ i

HALAMAN PERSETUJUAN PEMBIMBING ............................................. ii

HALAMAN PENGESAHAN.......................................................................... iii

PERNYATAAN KEASLIAN KARYA ......................................................... iv

HALAMAN MOTTO DAN PERSEMBAHAN.............................................. v

INTISARI......................................................................................................... vi

ABSTRACT..................................................................................................... vii

KATA PENGANTAR ..................................................................................... viii

DAFTAR ISI ................................................................................................... x

DAFTAR GAMBAR ....................................................................................... xiii

DAFTAR TABEL............................................................................................ xv

DAFTAR LAMPIRAN.................................................................................... xvi

BAB 1. PENDAHULUAN .............................................................................. 1

1.1. Latar Belakang ............................................................................. 1

1.2. Rumusan Masalah ......................................................................... 2

1.3. Batasan Masalah............................................................................ 2

1.4. Tujuan Masalah ............................................................................. 3

1.5. Manfaat Penelitian......................................................................... 3

1.6. Motodologi Penelitian ................................................................... 4

1.7. Sistematika Penulisan.................................................................... 4

BAB II. DASAR TEORI ................................................................................. 6

2.1. Pengertian Kecepatan .................................................................... 7

2.1.1. Kecepatan Linier Objek Berputar........................................ 7

2.1.2. Kecepatan Sudut Objek Berputar ........................................ 8

2.2. Sensor putaran motor..................................................................... 10

2.2.1. Kecepatan Putar Motor........................................................ 11

2.3. Pembagi Frekuensi ........................................................................ 11

2.4. Gerbang Logika Pasar ................................................................... 12

Page 12: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

12

2.4.1. Gerbang AND...................................................................... 12

2.4.2. Gerbang NOT ...................................................................... 13

2.4.3. Gerbang NAND................................................................... 14

2.5. Rangkaian Generator Basis Waktu................................................ 15

2.6. Penggerbangan .............................................................................. 16

2.7. Pemicu Schmitt.............................................................................. 18

2.8. Pencacah Module 256 (8 bit)......................................................... 19

2.9. Pencacah BCD............................................................................... 20

2.10. Pengancing CD-LATCH ............................................................... 22

2.11. Pengendali LATCH dan RESET................................................... 23

2.12. Penyandi BCD to 7-segmen .......................................................... 24

2.13. Seven Segment .............................................................................. 25

2.14. Digital to Analog Converter (DAC).............................................. 27

2.15. Penguat .......................................................................................... 29

2.15.1. Penguat Penjumlah (summing Amplifier)........................ 29

2.15.2. Penguat Pembalik (Inverting)............................................ 30

2.16. Pengendali ..................................................................................... 31

2.16.1. Kendali Proporsional ......................................................... 32

2.16.2. Kendali Integral ................................................................. 33

2.16.3. Kendali Propersional Integral............................................ 34

2.17. Penggerak Motor ........................................................................... 36

2.18. Motor DC ...................................................................................... 37

BAB III. PERANCANGAN ALAT................................................................. 39

3.1. Sensor Putaran Motor.................................................................... 39

3.1.1. Keceptan Putaran Motor...................................................... 41

3.2. Perwaktu Stabil.............................................................................. 41

3.3. Rangkaian Basis Waktu dan Penggerbangan ................................ 42

3.4. Rangkaian Pengendali Lacth dan Reset ........................................ 43

3.5. Rangkaian Pencacah Biner ............................................................ 44

3.6. Rangkaian Pencacah BCD Hingga Penampil................................ 45

3.7. Konverter Digital ke Analog (DAC) ............................................. 48

3.8. Penguat Penjumlah (Summing Amplifier) .................................... 50

Page 13: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

13

3.9. Penguat Proporsional..................................................................... 51

3.9.1. Penguat Integral................................................................... 52

3.9.2. Penguat Proporsional Integral ............................................. 54

BAB IV. DATA DAN PEMBAHASAN......................................................... 57

4.1. Hasil Akhir Perancangan............................................................... 57

4.2. Data Kecepatan Putar Motor DC................................................... 58

4.3. Perbandingan Pengukuran Kecepatan Menggunakan

Osiloskop Digital dengan Rancangan ........................................... 60

4.3.1. Perhitungan Kesalahan Pengukuran pada Tampilan

Digital.................................................................................. 63

4.4. Data Konversi Digital ke Analog .................................................. 65

4.4.1. Perbandingan DAC Pada Rancangan dengan

Hasil Perhitungan .............................................................. 69

4.5. Pengendali Proporsional Integral.................................................... 71

BAB V. KESIMPULAN DAN SARAN.......................................................... 76

5.1. Kesimpulan ................................................................................... 76

5.2. Saran.............................................................................................. 76

DAFTAR PUSTAKA ...................................................................................... 77

LAMPIRAN..................................................................................................... LI

Page 14: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

14

DAFTAR GAMBAR

Halaman

Gambar 2.1. Diagram kotak pengubah frekuensi kecepatan motor DC menjadi

tegangan DC pada aplikasi pengatur kecepatan motor DC secara

umum ........................................................................................6.

Gambar 2.2. Sensor putaran motor .......................................................................10

Gambar 2.3. Diagram Kotak Pembagi Frekuensi .................................................11

Gambar 2.4. Simbol logika gerbang AND...........................................................12

Gambar 2.5. Simbol logika gerbang NOR............................................................13

Gambar 2.6. Simbol logika gerbang NAND.........................................................14

Gambar 2.7. Diagram basis waktu (Time base) ....................................................15

Gambar 2.8. Penggerbangan .................................................................................16

Gambar 2.9. Timing diagram penggerbangan ......................................................17

Gambar 2.10. Karakteristik pemicu Schmitt........................................................18

Gambar 2.11. Pencacah biner 8 bit .......................................................................19

Gambar 2.12. Pencacah BCD................................................................................20

Gambar 2.13. Bentuk gelombang keluaran pencacah BCD..................................22

Gambar 2.14. Rangkaian D Latch.........................................................................22

Gambar 2.15. Pulsa Latch dan Reset ....................................................................23

Gambar 2.16. Rangkaian pengendali Latch dan Reset ........................................24

Gambar 2.17. Untai penampil tujuh segmen.........................................................26

Gambar 2.18. Penampil tujuh segmen ..................................................................26

Gambar 2.19. Tujuh segmen dalam digit decimal ................................................26

Gambar 2.20. Rangkaian LED..............................................................................27

Gambar 2.21. Dasar rangkain DAC 8 bit..............................................................28

Gambar 2.22. Rangkaian DAC dengan satu keping IC ........................................28

Gambar 2.23. Penguat penjumlah .........................................................................29

Gambar 2. 24. Penguat pembalik ..........................................................................31

Gambar 2.25. Pangkalan pengendali. Proposional ...............................................32

Gambar 2.26. Rangkaian Kendali Integral............................................................33

Gambar 2.27Rangkaian kendali proposional Intergral .........................................35

Page 15: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

15

Gambar 2.28.Rangkaian penguat arus ..................................................................37

Gambar 2.29.Rangkaian ekiuvalen motor DC ......................................................38

Gambar 3.1. Diagram blok rangkaian pengubah Frekuensi kecepatan motor DC

menjadi tegangan DC pada aplikasi pengatur kecepatan motor

DC .............................................................................................39

Gambar 3.2.Gambar Sensor putaran motor DC....................................................40

Gambar 3.3.Gambar rangkaian pewaktu stabil ....................................................42

Gambar 3.4.Rangkaian baris waktu dan penggerbangan ......................................43

Gambar 3.5.Rangkaian pengendali latch dan reset ...............................................43

Gambar 3.6.Rangkaian IC 4040............................................................................44

Gambar 3.7.Rangkaian percacah decade ..............................................................45

Gambar 3.8.Rangkaian pengunci hingga penampil ..............................................47

Gambar 3.9.Perancangan rangkaian DAC ...........................................................48

Gambar 3.10.Gambar rangkaian penjumlah Beda ................................................51

Gambar 3.11.Rangkaian penguat Proposional ......................................................52

Gambar 3.12.Rangkaian penguat Integral ............................................................53

Gambar 3.13.Hubungan antara input dan output pada kendali PI ........................55

Gambar 3.14.Rangkaian penguat proposional Integral ........................................56

Gambar 4.1.Hasil perancangan ...........................................................................57

Gambar 4.2.Gambar Sinyal Keluaran Sensor Kecepatan Motor DC dengan Vi =

3.5 V..........................................................................................58

Gambar 4.3.Gambar Sinyal Keluaran Sensor Kecepatan Motor DC dengan Vi = 4

V................................................................................................59

Gambar 4.4.Grafik Perbandingan Pengukuran Osiloskop Digital Dengan

Percobaan. .................................................................................62

Gambar 4.5.Respon output kontrol PI, dengan KP=1, Ki=1, Vset = 0.5 V.........72

Gambar 4.6.Respon output kontrol P1, dengan KP=1, Ki=1, Vset = 2 V. ..........73

Gambar 4.7. Respon output kontrol PI, dengan KP=1, Ki=1, Vset = 3 V...........73

Gambar 4.8. Respon output kontrol PI, dengan KP=1, Ki=1, Vset = 4 V...........74

Page 16: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

16

DAFTAR TABEL

Halaman

Tabel 2.1.Tabel kebenaran gerbang AND 2 masukan ........................................12

Tabel 2.2.Tabel kebenaran gerbang NOT............................................................13

Tabel 2.3. Tabel kebenaran gerbang NAND........................................................15

Tabel 2.4. Tabel kebenaran pencacah BCD.........................................................21

Tabel 2.5.Tabel kebenaran penggrendel D ..........................................................23

Tabel 2.6.Jalur segment yang Aktif .....................................................................25

Tabel 3.1.Besarnya pengeluaran DAC menurut perhitungan .............................50

Tabel 4.1.Perbandingan antara data percobaan pada tampilan digital dengan

pengukuran menggunakan Osiloskop Digital. .............................61

Tabel 4.2.Tabel Kesalahan Pengukuran Kecepatan Motor DC ...........................64

Tabel 4.3.Data perhitungan dan pengukuran pada DAC .....................................66

Tabel 4.4.Perubahan keluaran setiap tingkat pengukuran....................................68

Tabel 4.5.Perbandingan output DAC perancangan dengan hasil perhitungan.....69

Table 4.6.Data proposional Integral.....................................................................71

Page 17: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

17

DAFTAR LAMPIRAN

Lampiran 1. Ganbar keseluruhan rangkaian

Lampiran 2. Data sheet optocoupler H21A2

Lampiran 3. Data sheet IC 4040

Lampiran 4 . Data sheet IC 4017

Lampiran 5. Data sheet IC 74 LS 75

Lampiran 6. Data sheet IC 74 LS 90

Lampiran 7. Data sheet IC 74 LS 47

Lampiran 8. Data sheet IC 74 LS 132

Lampiran 9. Data sheet IC 74 LS 14

Lampiran 10. Data sheet IC MC 1408

Lampiran 11. Data sheet IC LM 741

xvi

Page 18: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

18

BAB I

PENDAHULUAN

1.1. Latar Belakang

Dalam sistem kontrol kecepatan putar motor DC hal penting yang

harus dilakukan adalah mendefisinikan struktur sistem tersebut secara tepat.

Jika sebuah sistem kontrol adalah sistem yang stabil dan hanya memerlukan

perbaikan respon baik mengurangi atau memperbesar kecepatan respon,

maka yang dilakukan adalah membuat sistem kontrol dari jenis proporsional

dan integral. Kontrol ini merupakan gabungan antara kontrol proporsional

dan kontrol integral yang mampu melakukan perbaikan sistem kontrol.

Dalam kontrol kecepatan motor DC dibutuhkan suatu umpan balik ( feed

back ) agar sistem menjadi stabil. Pengubah kecepatan putar motor DC pada

aplikasi pengatur kecepatan putar motor DC pada rancangan ini merupakan

feed back dalam sistem kontrol kecepatan motor DC.

Karena masukan sistem kontrol berupa tegangan DC maka frekuensi

kecepatan motor DC diubah menjadi tegangan DC menggunakan rangkaian

pengubah kecepatan putar motor DC menjadi tegangan DC. Pengubah

kecepatan putar motor DC menjadi tegangan DC pada aplikasi pengatur

kecepatan motor DC ini, merupakan rangkaian yang mampu mengubah

frekuensi kecepatan motor DC menjadi tegangan DC. Rangkaian ini

didasarkan pada sistem digital dan sistem analog. Pada rangkaian ini pulsa –

pulsa digital dari keluaran sensor kecepatan motor DC akan diubah kedalam

data analog (tegangan). Secara umum rangkaian ini terdiri dari motor DC,

1

Page 19: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

19

sensor kecepatan, pencacah frekuensi yang hasil cacahannya ditampilkan

oleh unit penampil (display) serta sebuah pengontrol.

1.2. Rumusan Masalah

Sistem yang akan dirancang ini terdiri dari pengendali,motor DC,

sensor kecepatan, pencacah frekuensi, penguat serta unit penampil. Pengubah

kecepatan putar motor DC menjadi tegangan DC pada aplikasi pengatur

kecepatan motor DC mempunyai beberapa rumusan masalah sebagai berikut:

1. Membuat pengontrol motor DC dengan menentukan sistem

pengontrolan serta besar penguatan agar sistem menjadi stabil dan

memiliki nilai error yang kecil.

2. Membuat pengubah frekuensi putar motor menjadi tegangan DC

(frequency to voltage) dengan sistem digital dengan masukan yang

berupa frekuensi kecepatan motor DC.

3. Menampilkan hasil dari pengubah frekuensi menjadi tegangan DC

(frequency to voltage) dan nilai tegangan DC dengan menggunakan

penampil digital.

1.3. Batasan Masalah

Sistem pengubah kecepatan putar motor DC menjadi tegangan DC

pada aplikasi pengatur putaran motor DC dirancang memiliki spesifikasi

sebagai berikut :

1. Kecepatan maksimum putaran motor DC pada rancangan ini sebesar

2550 rpm.

Page 20: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

20

2. Frekuensi maksimum putaran motor DC pada rancangan ini sebesar

42 Hz.

3. Time base (waktu buka) yang digunakan pencacah untuk mencacah

sebesar 1 detik.

4. Tegangan DC keluaran pada rancangan ini antara 0 V saampai 5 V.

5. Penampil yang digunakan yaitu penampil digital berupa seven segment

dan DVM (Digital Volt Meter).

1.4. Tujuan Penelitian

1. Membuat pengubah kecepatan putar motor DC menjadi tegangan DC

menggunakan rangkaian digital.

2. Dapat mengetahui proses pengkonversian kecepatan putar motor DC

menjadi tegangan DC.

3. Dapat mengukur dan mengetahui kecepatan putar motor DC dengan

menggunakan sensor kecepatan .

4. Dapat mengetahui nilai rpm dan frekuensi putar motor dengan

menggunakan seven segment dan nilai tegangan DC dan DVM (Digital

Volt Meter).

1.5. Manfaat Penelitian

1. Merealisasikan salah satu bentuk teknik pengkonversian dari data digital

menjadi data analog.

2. Dapat memanfaatkan dan menerapkan sistem kontrol Proportional

Integral pada pengendali kecepatan putar motor DC.

Page 21: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

21

3. Hasil penelitian ini dapat dikembangkan untuk keperluan yang lainnya,

misalnya untuk mengubah frekuensi kecepatan putar motor AC menjadi

tegangan DC.

1.6. Metodologi Penelitian

1. Studi literatur yang ada serta mempelajari cara kerja dan cara

merencanakan dalam pembuatan peralatan tersebut.

2. Perancangan alat mennggunakan teori yang sudah ada untuk

mendapatkan karakteristik yang sesuai dengan keinginan ke dalam

rangkaian yang disusun menjadi kesatuan utuh.

3. Melakukan pengamatan pada titik-titik uji penting melalui percobaan di

laboratorium.

1.7. Sistematika Penulisan

Sistem penulisan digunakan dalam laporan tugas akhir ini disusun

dalam bentuk sebagai berikut :

BAB I. Pendahuluan yang berisi mengenai latar belakang penulisan,

perumusan masalah, pembatasan masalah, tujuan dan manfaat

penelitian serta sistematika penulisan.

BAB II. Dasar teori yang berisi mengenai dasar-dasar teori yang mendasari

perangkat pengubah kecepatan putar motor DC menjadi tegangan

DC pada aplikasi pengatur putaran motor DC

BAB III. Perancangan pengubah kecepatan putar motor DC menjadi

tegangan DC pada aplikasi pengatur putaran motor DC, yang berisi

Page 22: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

22

perancangan tiap bagian dari pengubah kecepatan putar motor DC

menjadi tegangan DC pada aplikasi pengatur putaran motor DC.

BAB IV. Hasil dan pembahasan terisi data hasil percobaan alat yang telah

dibuat beserta pembahasannya.

BAB V. Kesimpulan dan saran berisi kesimpulan dan penelitian yang telah

dilakukan serta saran yang dianggap perlu.

Page 23: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

23

BAB II

DASAR TEORI

Pengubah kecepatan putar motor DC menjadi tegangan DC pada aplikasi

pengatur kecepatan motor DC adalah suatu rangkaian yang digunakan untuk

mengetahui nilai tegangan DC hasil dari konversi frekuensi masukan. Frekuensi

kecepatan putar motor DC akan diketahui dengan menggunakan sensor (opto

coupler). Dengan menggunakan pembagi frekuensi maka akan didapat frekuensi

putar maksimal sebesar 42 Hz. Frekuensi tersebut yang akan menjadi masukan

bagi frekuensi counter dan hasil cacahan akan ditampilkan oleh penampil

(display). Setelah dicacah maka menjadi data digital yang kemudian oleh DAC

akan dikonversi menjadi data analog (tegangan). Kemudian nilai tegangan

tersebut dimasukkan ke summing amplifier bersama-sama tegangan set point.

Tegangan error keluaran dari summing amplifier selanjutnya akan menjadi

masukan pengontrol proportional integrator. Tegangan keluaran kontrol ini yang

akan menjadi pengontrol kecepatan putar motor DC.

Set point +

-

Gb. 2.1. Diagram kotak pengubah frekuensi kecepatan motor DC menjadi

tegangan DC pada aplikasi pengatur kecepatan motor DC secara umum

∑ P I Penguat

Motor

SENSOR

Freq to Voltage

6

Page 24: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

24

2.1 Pengertian Kecepatan

Kecepatan adalah perpindahan oleh suatu objek tiap satu satuan

waktu. Misalkan suatu objek mempunyai kecepatan 10 m/s, artinya tiap satu

second objek mengalami perpindahan sebesar 10 m. Pengertian di atas

merupakan pengertian secara umum. Pada kenyataanya terdapat bermacam-

macam kecepatan. Berikut ini akan dijelaskan tentang kecepatan pada objek

berputar.

2.1.1 Kecepatan Linier (kecepatan Tangensial) Objek Berputar

Kecepatan linier objek yang berputar didefinisikan sebagai

panjang lintasan (busur) yang ditempuh oleh suatu objek oleh satu

satuan waktu. Besarnya kecepatan tangensial adalah

tSv = ................................................................................... (2.1)

ketarangan:

v : kecepatan linier objek berputar (m/s)

S : panjang lintasan yang ditempuh oleh objek berputar (m)

t : waktu tempuh lintasan

untuk satu putaran, lintasan objek yang berputar sama dengan keliling

lingkaran itu sendiri:

RS ..2 π= ................................................................. (2.2)

Dengan R adalah jari-jari lingkarang objek yang berputar (m)

Page 25: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

25

Bila waktu yang dibutuhkan untuk satu kali putaran adalah T second,

maka:

fTdengan

TRv 1:..2

==π

Sehingga:

Rfv ...2 π= ......................................................................... (2.3)

ketarangan

T = periode putaran 9s)

f = frekuensi (Hz)

Dari persamaan di atas diketahui hubungan antara kecepatan sudut

dengan kecepatan liniernya:

ωππ == fdenganRfv ..2...2

Rv .ω= ............................................................................... (2.4)

Artinya lininer sebading dengan kecepatan sudut dan sebanding

dengan jari-jari putarannya.

2.1.2 Kecepatan Sudut Objek Berputar

Kecepatan sudut berputar atau disebut juga kecepatan anguler

objek berputar, didefinisikan sebagai besar perubahan sudut yang

terjadi tiap satau satuan waktu. Besarnya kecepatan sudut dapat

diperoleh dengan persamaan:

∆θ

ω = .......................................................................... (2.5)

∆t

Page 26: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

26

Untuk satu kali putaran diperoleh perubahan sudut (∆θ ) sebesar 2π

radian dalam waktu t = T second

Sehingga:

fTdengan

TR 1;2

==πω

maka:

fv ..2 π= ............................................................................ (2.6a)

Keterangan:

ω = Kecepatan sudut objek yang berputar (rad/s)

∆θ = Perubahan sudut (rat)

Untuk mendapatkan ω dalam satuan rpm (rotation per minute),

maka:

1 putaran/s = 2π.rad/s

= 60 rpm

sehingga 1 rad/s = 30/π rpm

maka persamaan 2-6 dapat di ubah menjadi,

ω (rpm) = 60 f .................................................................... (2.6b)

keterangan:

ω (rpm) = kecepatan objek berputar dalam rpm.

Page 27: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

27

2.2 Sensor Putaran Motor

Sensor putaran motor berupa perangkat yang terdiri dari piringan

bercelah yang dipasang pada poros motor dan optocoupler yang dilewatkan

padanya piringan tersebut, sebagaimana ditunjukkan pada gambar 2.2.

Gambar 2.2. Sensor putaran motor: (a) piringan bercelah, (b) rangkaian

optocoupler

Optocoupler berupa komponen yang terdiri dari dioda inframerah

sebagai pemancar cahaya dan fototransistor sebagai penerima cahaya. Pada

saat inframerah mengenai fototransistor, maka fototransistor jenuh. Hal ini

mengakibatkan arus Ib mengalir ke arah ground, keluaran fototransistor

tinggi sehingga keluaran pemicu Schmitt menjadi rendah (logika 0).

Sedangkan pada saat sinar terhalang maka fototransistor dalam keadaan cut-

off, keadaan ini membuat arus melalui resistor dan membuat keluaran

transistor rendah, sehingga keluaran pemicu Schmitt menjadi tinggi (logika

1). Putaran motor menyebabkan kombinasi tinggi rendah dengan periode

tertentu tergantung kecepatan putaran motor.

Page 28: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

28

2.1.1. Kecepatan Putar Motor

Telah diketahui bahwa kecepatan putaran motor dibaca dari

celah-celah pada piringan motor yang dilewatkan pada optocoupler.

Kecepatan dinyatakan dengan :

n = dalam satuan rotasi per menit ( rpm )

bukawaktu detik 60

celahjumlah nditampilka yang angkan •=

2.3 Pembagi Frekuensi

Pembagi frekuensi digunakan untuk mendapatkan frekuensi yang

diinginkan. Frekuensi yang diinginkan tergantung pada pencacah yang

digunakan, misalnya pencacah 6 berarti akan menurunkan frekuensi dan time

base menjadi 1/6 dari frekuensi semula. Sama halnya untuk pencacah modulo

10, 1/10 dari frekuensi semula.

Penurunan frekuensi dilakukan dengan cara seperti pada gambar 2.3

berikut:

Frekuensi awal Pembagi frekuensi Frekuensi hasil

Frekuensi dari Pewaktu dasar

(X Hz)

Pencacah dengan modulo Y

Frekuensi akhir (X/Y Hz)

Gambar 2.3. Gambar Diagram Kotak Pembagi Frekuensi

Page 29: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

29

2.4 Gerbang Logika Dasar

2.4.1 Gerbang AND

Gerbang AND mempunyai dua atau lebih masukan dan satu

keluaran. Keluaran dari gerbang AND akan bernilai logika 1 jika

semua masukan bernilai juga logika 1. Oleh karena itu gerbang AND

kadang-kadang juga disebut ”gerbang semua atau tidak”.

Simbol logika gerbang AND dua masukan dapat dilihat pada gambar

2.4 di bawah ini.

Gambar 2.4. Simbol logika gerbang AND

Dalam Aljabar Boolean, persamaan untuk gerbang AND dapat ditulis

sebagai berikut :

Y = A • B ..................................................................................... (2.7)

AND2

A

BY

Tabel 2.1

Tabel kebenaran untuk gerbang AND dua masukan

B A Y

0

0

1

1

0

1

0

1

0

0

0

1

Page 30: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

30

2.4.2 Gerbang NOT

Semua gerbang logika diatas mempunyai paling sedikit dua

masukan dan satu keluaran. Akan tetapi gerbang NOT hanya

mempunyai satu masukan dan satu keluaran. Gerbang NOT berfungsi

untuk memberikan suatu keluaran yang tidak sama (terbalik) dengan

masukan. Jika nilai masukan gerbang NOT bernilai logika 0, maka

keluaran akan bernilai logika 1. Sebaliknya jika masukan gerbang

bernilai logika 1, maka keluarannya akan bernilai logika 0. Oleh

karena itu gerbang NOT sering kali disebut ”pembalik”.

Gerbang NOT disimbolkan seperti pada gambar 2.5 di bawah ini :

NOT

YA

Gambar 2.5. Simbol logika gerbang NOT

Aljabar Boolean untuk gerbang NOT adalah sebagai berikut :

AY = ………………………………………………...……… (2.8)

Tabel 2.2

Tabel kebenaran gerbang NOT

A Y

0

1

1

0

Page 31: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

31

2.4.3 Gerbang NAND

Gerbang NAND terdiri dari dua buah atau lebih masukan dan

sebuah keluaran.Gerbang NAND tersusun dari dua gerbang yaitu

gerbang NOT dan gerbang AND sehingga keluaran akhir NAND adalah

hasil operasi NOT-AND.

Simbol gerbang NAND dapat dilihat digambar 2.6 berikut.

NAND2

YA

B

Gambar 2.6. Simbol logika gerbang NAND

Aljabar boolean untuk gerbang NAND

BAY •= ................................................................... (2.9)

Tabel 2.3

Tabel kebenaran gerbang NAND

B A BA •

0

0

1

1

0

1

0

1

1

1

1

0

2.5 Rangkaian Generator Basis Waktu

Rangkaian generator basis waktu adalah suatu rangkaian yang

berfungsi untuk menghasilkan lamanya pengukuran dan sekaligus

menentukan basis waktu pengukuran yang akan digunakan oleh pencacah.

Page 32: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

32

Ketepatan perioda yang dihasilkan oleh basis waktu akan sangat berpengaruh

pada pengukuran frekuensi. Rangkaian basis waktu dapat diperlihatkan

seperti gambar 2.7. dari frekuensi kecepatan putaran motor DC

T gate

1 Hz

Frekuensi referensi

: X1

: X2

: Xn

Pencacah

Gambar 2.7. Diagram basis waktu (time base)

Cara kerjanya: gelombang kotak (pulsa) dari sebuah pembangkit

frekuensi dimasukkan ke pembagi atau disebut juga pencacah, sehingga

menghasilkan basis waktu. Pulsa-pulsa yang dihasilkan osilator dibagi oleh

pencacah X1 sampai Xn. Pembagi X1 sampai Xn membagi frekuensi dasar

osilator hingga diperoleh basis waktu yang diinginkan.

Untuk menghasilkan waktu pencacahan yang baik maka pulsa

keluaran dari pembangkit frekuensi diharapkan mencapai 1 Hz. Hasil dari

time base ini akan menjadi pulsa bagi penggerbangan.

2.6 Penggerbangan

Penggerbangan suatu pencacah berarti menghidupkan hanya selama

satu periode dan dalam periode ini pencacah akan mencacah banyaknya

Page 33: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

33

pulsa yang tibapadamasukannya.Gambar 8.memperlihatkan cara sederhana

penggerbangan. Gerbang NAND melewatkan pulsa f-in. Pulsa F-in

ditempatkan pada masukan NAND yang satu, sedang pada masukan satu lagi

pulsa T-gate seperti terlihat dalam Gambar 2.8.

f-in

T-gate

A B

Gambar 2.8. Penggerbangan

Gerbang NAND akan terbuka saat pulsa f-in dan T-gate pada logika

tinggi. Bila pulsa masukan T-gate pada logika rendah maka keluaran pada

outgate adalah kebalikan dari pulsa f-in.

Waktu pulsa antara A dan B disebut gating time atau waktu buka.

Selama waktu buka yang ditentukan, pencacah akan melakukan pencacahan.

Waktu buka atau gating time sangat berpengaruh pada pengukuran. Bila

gating time atau waktu buka pengukuran lebih lama maka keakuratan dari

data yang akan diperoleh cukup baik.

Banyaknya pulsa yang dapat dilewatkan oleh gerbang NAND adalah

frekuensi yang terukur. Waktu buka yang dipilih akan menentukan

banyaknya pulsa yang masuk ke pencacah. Misal gating time yang

dipergunakan adalah 1 detik, gerbang NAND dapat melewatkan 50 pulsa,

maka frekuensi terukur adalah 50 Hz. Jadi,

(Hertz) bukawaktu

ahyang dicacpulsaBanyaknyaFterukur =

Out gate

Page 34: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

34

Bentuk gelombang hasil penggerbangan dan rangkaian basis waktu

diperlihatkan gambar 2.9 berikut :

f-in

T-gate 1 detik

Out gate

Gambar 2.9. Timing diagram penggerbangan

F-in adalah sinyal dalam bentuk gelombang frekuensi dari media

yang diukur, sesudah dibentuk oleh pemicu schmitt, T-gate adalah bentuk

gelombang dari gating time yang digunakan dalam pengukuran yang berasal

dari rangkaian basis waktu, dan out gate adalah bentuk gelombang keluaran

selama waktu buka yang dipilih, selanjutnya menjadi input bagi pencacah

dekade dan pencacah biner.

2.7 Pemicu Schmitt

Pemicu Schmitt merupakan komponen yang mampu mengubah sinyal

sinus, segitiga dan gigi gergaji menjadi sinyal kotak dengan pinggiran naik

dan turun yang tajam. Perpindahan antara keadaan tinggi (1) dan rendah (0)

digambarkan seperti grafik karakteristik pemicu Schmitt pada gambar 2.10.

Page 35: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

35

Vout Vout

5 5

1 1 1.2 1.7 Vin VT- VT+ Vin

Gambar 2.10. Karakteristik pemicu schmitt

Nilai Vin yang menyebabkan keluaran berubah dari keadaan rendah ke

tinggi disebut tegangan ambang positip (VT+) dan, demikian sebaliknya Vin

yang menyebabkan keluaran berubah dari keadaan tinggi ke rendah disebut

tegangan ambang negatif (VT). Bila Vout berada pada keadaan rendah

diperlukan untuk menaikkan Vin sedikit diatas 1,7 Volt guna menghasilkan

suatu perpindahan. Setelah berada pada keadaan tinggi Vout tetap. Vout tetap

berada pada tegangan 5 Volt sampai Vin menurun sedikit dibawah 1,2 Volt.

Pada saat ini keluaran kembali berubah ke keadaan rendah. Garis putus-putus

menandakan perubahan yang sangat cepat.

2.8 Pencacah Modulo-256 (8 BIT)

Pencacah digital merupakan suatu rangkaian digital yang penting.

Pencacah digital merupakan rangkaian logika pengurut. Hal ini jelas, karena

pencacah membutuhkan karakteristik memori dan pewaktu memegang

peranan penting.Pencacah digital hanya akan menghitung dalam biner atau

dalam kode biner.Rangkaian yang dirancang menghasilkan urutan bilangan

Page 36: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

36

biner dari 00000000 sampai 11111111,seperti yang ditunjukkan gambar

18,dapat disebut sebagai pencacah modulo 256. Modulus dari satu pencacah

adalah jumlah hitungan yang dilaluinya.Istilah”modulo” kadang disingkat

dengan ”mod”.

Diagram logika dari pencacah modulo 256 yang menggunakan flip-

flop JK dapat dilihat pada gambar 18. Mula-mula perhatikan bahwa masukan

data J dan K dari flip-flop tersebut digabungkan ke logika 1. Hal ini berarti

bahwa masing-masing flip-flop berada dalam mode togel. Kemudian,

masing-masing pulsa clock akan menyebabkan flip-flop mentogel ke

keadaan berlawanan. Perhatikan juga bahwa, keluaran Q dari FF1

dihubungkan secara langsung ke masukan clock (CK) dari unit berikutnya

(FF2),dan seterusnya. A merupakan indikator LSB (Least Significan Bit, bit

yang paling kurang penting), sedangkan H merupakan MSB (Most Significan

Bit), bit yang paling berbobot.

Pada pencacah digital tak sinkron / asinkron perubahan output flip-

flop yang terjadi secara serempak , karena pulsa yang akan dicacah hanya

dimasukan pada flip-flop yang terdepan (LSB).Sedang sebagai pulsa clock

dari flip-flop yang lain diperoleh dari output flip-flop di depannya.Dengan

demikian perubahan dari output flip-flop akan terjadi secara berurutan dari

depan ke belakang sehingga disebut ripple counter / free running counter.

1

JKFF

J

CLK

K

Q

Q

B

1

E

1

1

JKFF

J

CLK

K

Q

Q

F

1

1 1

JKFF

J

CLK

K

Q

Q

1 11

1

C

1

G

1

JKFF

J

CLK

K

Q

Q

JKFF

J

CLK

K

Q

Q

JKFF

J

CLK

K

Q

Q

masukandetak

JKFF

J

CLK

K

Q

Q

H

1

A

JKFF

J

CLK

K

Q

Q1

1

D

Gambar 2.11. Pencacah biner 8bit

Page 37: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

37

2.9 Pencacah BCD

Pencacah BCD merupakan pencacah dekade (mod-10) dengan

keluaran 10 keadaan diskrit. Pencacah ini akan menghasilkan sandi BCD

(8421) menurut urutan clock yang diberikan. Pada pencacah ini begitu

mencapai clock ke 10, cacahan akan dimulai lagi dari nol. Diagram logika

dari pencacah ini dapat dilihat pada gambar 2.12.

Gambar 2.12. Pencacah BCD

Pada awal keadaan, pencacah dalam keadaan reset atau 0000. Saat

pulsa clock pertama tiba, flip-flop pertama (FF1) mengalami toggle (D=1)

sehingga keluaran akhir 0001, pada saat clock kedua tiba maka FF1

mengalami toggle (D0=0) dan menyebabkan FF2 mengalami toggle (D1=1),

sehingga keluaran pada clock ke-dua adalah 0010. Demikian seterusnya

hingga keluaran pencacah 1010 yang mengaktifkan gerbang AND sehingga

pencacah direset ke keadaan awal atau 0000. Tabel kebenaran dari pencacah

ini dapat dilihat pada Tabel 4.

CK

CLR

JKFF

J

CLK

K

Q

QCLR

JK=1

B D

CLRCLR

C

JKFF

J

CLK

K

Q

Q

JKFF

J

CLK

K

Q

Q

A

JKFF

J

CLK

K

Q

Q

Page 38: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

38

Tabel 2.4

Kebenaran Pencacah BCD

Clock ke- D C B A Cacahan

0 0 0 0 0 0

1 0 0 0 1 1

2 0 0 1 0 2

3 0 0 1 1 3

4 0 1 0 0 4

5 0 1 0 1 5

6 0 1 1 0 6

7 0 1 1 1 7

8 1 0 0 0 8

9 1 0 0 1 9

10 0 0 0 0 0

Dari tabel kebenaran tersebut dapat digambarkan bentuk keluaran

sebagai mana diagram waktu pada Gambar 2.13.

Gambar 2.13. Bentuk gelombang keluaran pencacah BCD

Page 39: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

39

2.10 Pengancing (D-LATCH)

Rangkaian ini adalah penggerendel D (D latch) yang keluarannya

mengikuti masukan pada saat clock tinggi. Jika bit data berubah pada saat

clock tinggi, nilai terakhir D sebelum clock berubah keadaan dari logika

tinggi ke rendah merupakan nilai D yang tersimpan. D Latch dapat dibentuk

dari SRFF dan gerbang logika AND dan NOT sebagaimana pada gambar 2.14

C out

D

out

S Q R Q

Gambar 2.14 Rangkaian D Latch

Saat clock rendah (0) keadaan maka keluaran Q tetap. Dan pada saat

clock tinggi (1), keluaran Q mengikuti masukan. Tabel kebenaran bagi D

Latch ini dapat dilihat pada tabel 5.

Tabel 5.

Tabel kebenaran Penggerendel D

D C Q

0 0 0

0 1 Keadaan terakhir

1 0 0

1 1 1

Page 40: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

40

2.11 Pengendali LATCH dan RESET

Rangkaian pengendali Latch dan Reset diperlukan untuk

mempertahankan nilai yang dibaca selama waktu buka dan mereset cacahan

kembali ke awal sesaat sebelum masuk waktu buka berikutnya.

Pengoperasian Latch dan Reset dilakukan pada saat pulsa B dalam keadaan

rendah. Untuk lebih jelasnya dapat diperhatikan diagram logika pada gambar

2.15.

B

LATCH

RESET

Gambar 2.15 Pulsa Latch dan Reset

Untuk menghasilkan pulsa sempit digunakan kombinasi C dan R

sebagai diferensiator dengan tetapan waktu t = R.C, sebagaimana

diperlihatkan pada Gambar 2.16.

R

LATCH

C

RESET

C

R

B

Gambar 2.16. Rangkaian pengendali Latch dan Reset

Page 41: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

41

2.12 Penyandi BCD to 7-Segmen

Sebelum segmen dinyalakan, keluaran system digital harus diubah ke

dalam isyarat yang sesuai untuk menyalakan tampilan. Masukan dari sistem

digital biasanya dalam bentuk sandi biner (BCD) harus diubah menjadi

isyarat tujuh jalur untuk menyalakan masing-masing segmen. Perubahan ini

dilakukan oleh penyandi BCD ke tujuh segmen. Sebagai contoh, jika

karakter 2 akan ditampilkan, maka jalur keluaran S0, S1, S3, S4, S6 akan di-

SET berlogika satu untuk menghidupkan transistor yang sesuai dengan

segmen S0, S1, S3, S4, S6. Jalur yang lain tetap berlogika nol. Tabel 6

menunjukkan jalur-jalur yang harus diaktifkan untuk membentuk karakter

yang dimaksud.

Tabel 6. Jalur Segmen yang aktif

Page 42: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

42

2.13 Seven Segment

Pada dasarnya penampil tujuh segmen terdiri dari tujuh buah LED

(Light Emmitting Diode). Menurut cara pemberian tegangan, maka suatu

tujuh segmen terdiri dari dua macam, yaitu : common anoda dan common

katoda. Perbedaan antara keduanya hanya terletak pada penyambungan

antara LED yang terdapat pada segmen yang satu dengan yang lain. Pada

common anoda, anoda dari ketujuh LED terhubung menjadi satu (gambar

2.17a) sedangkan pada common katoda, katoda dari ketujuh LED yang

terhubung menjadi satu (gambar 2.17b) Pada umumnya ketujuh LED pada

seven segment diberi label S0 sampai S6 seperti disajikan pada gambar 2-8.

Ketujuh LED itu merupakan cacahan segmen minimum yang diperlukan

untuk menampilkan angka 0 sampai 9 seperti diilustrasikan pada gambar

2.17

a) anoda bersama b) katoda bersama

Gambar 2.17. Untai penampil tujuh segmen

Page 43: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

43

Gambar 2.17.Penampil tujuh segmen

Gambar 2.19.Tujuh segmen dalam digit desimal

Kecerahan LED tergantung dari arusnya. Idealnya, cara terbaik untuk

mengendalikan kecemerlangan ialah dengan menjalankan LED dengan

sumber arus. Cara berikutnya yang terbaik setelah sumber arus adalah

dengan tegangan catu yang besar dan resistansi seri yang besar. Dalam hal

ini, arus LED diberikan oleh :

s

ledcc

RVV

I−

= ................................ ........................................ (2.10)

Page 44: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

44

Vcc

RsI

led

D1

LED

Gambar 2.20. Rangkaian LED

Makin besar tegangan sumber, makin kecil pengaruh Vled. Dengan kata lain

Vcc yang besar menghilangkan pengaruh perubahan pada tegangan LED.

2.14 Digital to Analog Converter (DAC)

DAC adalah rangkaian yang mengubah tegangan biner digital menjadi

log. Ada banyak bentuk rangkaian DAC ini, yang biasanya sudah terpaket

pada satu keping IC. DAC terdiri dari rangkaian jaringan resistor dan

penguat penjumlah atau disebut juga konveter arus ke tegangan (I to V

Converter, IVC). Jaringan resistor yang dipakai biasanya jaringan R-2R

sebagaimana ditunjukkan pada gambar 2.20. Pada saat saklar D1 dihubung

singkat maka besarnya arus keluaran meningkat dua kali dari arus pada saat

D0 dihubung singkat.

Page 45: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

45

R R

Vcc

Vcc

+

-

V+V

-

out

I/V ConverterJaringan Resistor

R

2R

R

2R

D3

2R

Vout

2R

R R

D6

R

2R

RfD2

2R

D0 D5

2R -Vee2R

D7

2R

D1 D4

I0

Gambar 2.21. Dasar rangkaian DAC 8 bit

Dengan jaringan resistor R-2R akan didapatkan kenaikan yang sama

setiap tingkatnya. Biasanya DAC sudah terpaket dalam satu keping IC

sebagaimana ditunjukkan pada Gambar 29.Do merupakan masukan LSB dan

D7 sebagai masukan MSB. Dengan masukan sebanyak n bit didapatkan 2n

tingkat keluaran. Sehingga dengan masukan sebanyak 8 bit akan didapatkan

256 tingkat keluaran.

Vref

U10

MC10116

56789

10

14

15

2

4

313

1112

16

.

.

.

.

.

.

.

.

.

.VE

EV

CC

.

.

.

D2

R1

6

Rref

D5

I0

2

+

-

V+

V-

outC

Rf

Vee

3

D3

Vout

4

7

D7

Vcc

D1

Vcc

D0

7

D6

D4

Vee

Gambar 2.22. Rangkaian DAC dengan satu keping IC

Page 46: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

46

Besar atau kecilnya arus keluaran (Io) DAC tergantung atas keadaan

masukan logika 1 dan 0. Arus dari IC DAC sebesar :

)256128643216842

( 01234567 DDDDDDDDRV

Ioref

ref +++++++=

dengan:

Io : Arus keluaran konverter DAC (dalam A)

Rref : Resistor Referensi (dalam Ohm)

Vref : Tegangan Referensi (dalam Volt)

D0-D7 : sinyal-sinyal masukan biner

Persamaan di atas dapat disederhanakan menjadi:

)256

( NRV

Ioref

ref= ............................................................................... (2.11)

dengan N : bobot masukan desimal

2.15 Penguat

2.15.1 Penguat Penjumlah (Summing Amplifier)

Penguat penjumlah dapat dibentuk dari penguat inverting,

dimana bisa menjumlahkan dua atau lebih masukan-masukan bebas

(independent). Rangkaian penguat penjumlah untuk dua masukan

dapat diperlihatkan dalam Gambar 2.22

R1

R2

VIN1

VIN2

Rf

VOUT

3

2

74

6

+

-

V+V-

OUT

Gambar 2.23 Penguat Penjumlah

Page 47: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Penguat penjumlah untuk masing-masing masukan berdasarkan

persamaan:

Penguat tegangan pertama

11 R

RVV

A f

i

oV −== ............................................................... (2.12)

Penguat tegangan kedua

222 R

RVVA fo

V −== ................................................................ (2.13)

Sehingga tegangan keluarannya adalah penjumlahan dari persamaan

(2.12) dan (2.13)

⎟⎟⎠

⎞⎜⎜⎝

⎛+= 2

21

1

VRR

VRR

V ffo .......................................................... (2.14)

Dengan harga (R) semua sama, maka persamaan (2.15) menjadi :

Vo = - (V1 + V2) ................................................................... (2.15)

2.15.2 Penguat Pembalik (Inverting)

Sebuah penguat menerima arus atau tegangan kecil pada

masukannya dan menbangkitkan arus atau tegangan yang lebih besar

pada keluarannya, keluarannya dikendalikan sebagai fungsi daripada

masukan.

Penguat membalik op-amp dasar diperlihatkan dalam Gambar 2.24

xlvii

Page 48: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

VOUT

3

2

74

6

+

-

V+V-

OUT

VIN

Ri

Rf

Gambar 2.24 Penguat membalik

Penguatan tegangan dalam rangkaian penguat membalik ditentukan

menurut

i

o

VV

Av = ............................................................................... (2.16)

Sementara faktor penguatan dalam modus loop tertutup untuk

penguat membalik dinyatakan dalam

RiRfAv −= ............................................................................. (2.17)

Tegangan keluaran diperoleh dengan jalan mengalikan tegangan

masukan yang diketahui dengan faktor penguatan, atau

Vo = - (Av . Vi)

ii

f VRR

Vo ⎟⎟⎠

⎞⎜⎜⎝

⎛−= ....................................................................... . (2.18)

2.16 Pengendali

Pengendali adalah elemen yang mendeteksi perbedaan antara keluaran

yang dikehendaki dengan keluaran aktual.

xlviii

Page 49: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

2.16.1 Kendali Proportional

Apapun wujud mekanisme yang ada dan apapun bentuk daya

penggeraknya, kendali proporsional pada dasarnya merupakan

penguat dengan penguatan yang dapat diatur. Gambar 2.25

merupakan rangkaian dari kendali proporsional.

+

-

V+

V-

out Vout

-12

Vin

R1

+12

R2

Gambar 2.25 Rangkaian kendali proporsional

Sehingga dari gambar 2.25 diperoleh persamaan sebagai berikut :

( ) inout VRRtV .

1

2−= (t) ............................................................... (2.19)

inpout VRRVV .

1

2== (t)

1

2

RR

VV

Kin

outp −==

atau dalam transformasi laplace,

( ) )(.1

2 sVRRsV inout −=

( )( ) 1

2

RR

sVsV

in

out −=

xlix

Page 50: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Misal sinyal keluaran dari sistem kendali proporsional adalah m(t)

dan sinyal kesalahan penggerak adalah e(t) maka :

m(t) = Kp . et

atau dalam transformasi Laplace,

E(s) M(s)

Kp

M(s) = Kp . E(s)

pKsEsM

=)()(

Kp merupakan konstanta pengendali proporsional atau penguatan.

2.16.2 Kendali Integral

Sedangkan rangkaian kendali integral ditunjukkan pada

gambar 2.26 dibawah ini.

-12

C

R

Vin +

-

V+

V-

out

+12

Vout

Gambar 2.26 Rangkaian kendali integral

Dari gambar 2.26 diperoleh persamaan seperti berikut ini :

∫−= dttVRC

tV inout )(1)( ........................................................ (2.20)

l

Page 51: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

dtVRc

VV iniout ∫== (t)1

ii T

K 1−=

Ti = RC

Dalam transformasi Laplace,

)( .1..1)( sV

sCRsV inout −=

sCRsVsV

in

out 1..1

)()(

−=

Pada kendali dengan aksi kendali integral, nilai keluaran kendali m(t)

diubah dengan laju yang sebanding dengan sinyal kesalahan

penggerak e(t) sehingga:

m(t) = Ki ∫ e(t)dt

atau dalam transformasi Laplace,

E(s) M(s)

Ki

s1

M(s) = Ki . s1 E(s)

sK

sEsM i=)()(

Ki merupakan konstanta integrasi yang dapat diatur. Jika nilai sinyal

kesalahan penggerak e(t) diduakalikan, maka nilai sinyal keluaran

m(t) berubah dengan laju perubahan menjadi dua kali semula. Jika

li

Page 52: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

kesalahan penggerak e(t) nol, maka nilai keluaran m(t) tetap

stasioner.

2.16.3 Kendali Proportional Integrator

Sedangkan gabungan dari kendali proporsional dan kendali

integral disebut kendali proporsional integral.

V1

Vin

Vi Vout

P

I

(a)

+

-

V+V-

out

R7

A2

-12

+

-

V+

V-

out

Vout

A1

R3

Vin

R2

+

-

V+V-

out

-12Cf

-12

+12Vi

+12

R1

+12Vp

R6

A3

(b) Gambar 2.27. a) Diagram kotak kendali proporsional integral

b) Rangkaian kendali proporsional integral

Dari gambar 2.27 diperoleh suatu persamaan :

lii

Page 53: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

( ) ( )⎟⎟⎠

⎞⎜⎜⎝

⎛+= tV

RR

tVRR

tV ipout6

7

3

7)( …………………………....

(2.21).

⎟⎟⎠

⎞⎜⎜⎝

⎛−+−= ))(.1).(

.1.())(..()(

6

7

1

2

3

7 sVsCRR

RsV

RR

RR

sV ininout

( )( ) ⎟⎟

⎞⎜⎜⎝

⎛−+−−= )1.

.1.().(

6

7

1

2

3

7

sCRRR

RR

RR

sVsV

in

out

Aksi kendali dari kendali proporsional integral dapat didefinisikan

sebagai berikut :

M(t) = Kp . e(t) + i

p

TK

∫ Vin(t)dt

Atau dalam besaran transformasi Laplace,

E(s) s

KK i

p + M(s)

⎟⎟⎠

⎞⎜⎜⎝

⎛+=

sTK

sEsM

ip

11)()(

Dimana : m(t) : keluaran kontroler

Kp : konstanta proporsional

Ti : waktu integral = R.C

e(t) : sinyal kesalahan penggerak

Waktu integral Ti mengatur aksi kendali integral, sedangkan

penguat Kp mempengaruhi baik bagian proporsional maupun bagian

integral dari sistem kendali.

liii

Page 54: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

2.17 Penggerak Motor

Penggerak motor diperlukan untuk menggerakkan motor adalah

penguat arus karena arus yang keluar dari penguat pembalik hanya kecil

kurang kuat untuk memutar motor dc.

Gambar rangkaian penguat arus yang digunakan dapat dilihat pada

Gambar 2.27

Q1

BD239C

MOTOR DC

-

+

-

V+

V-

out

Vcc +15V

+

Gambar 2.28 Rangkaian Penguat Arus

Dari rangkaian pada gambar 2.28 dapat diperoleh dari persamaan

Vcc – Vce – Ie.Re = 0 .............................................................. (2.22)

Dengan

Vout = Vin ............................................................................. (2.23)

Maka

IRE = Ie = ReVout

..................................................................... (2.24)

liv

Page 55: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

2.18 Motor DC

Rangkaian ekivalen motor dc dapat dilihat pada gambar 2.28.

R

EsEo

I

V1

Gambar 2.29. Rangkaian ekivalen motor DC

Arus I yang mengalir pada rangkaian tersebut adalah:

RE E

I 0s −= ............................................................................ (2.25)

Eo adalah tegangan induksi yang disebut sebagai counter-

electromotive force (cemf), yang senantiasa berlawanan dengan tegangan

sumber Es. Pada saat motor tidak berputar (the motor is rest), maka besarnya

Eo = 0, sehingga arus yang dibutuhkan untuk starting sebesar

RE

I s= ..... ................................................................................ (2.26)

lv

Page 56: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Pada saat putaran motor meningkat, tegangan Eo juga akan meningkat

sehingga menghasilkan tegangan selisih (Es – Eo).

lvi

Page 57: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

BAB III

PERANCANGAN ALAT

Pada dasarnya alat yang dirancang mempunyai sistem seperti yang

digambarkan pada diagram blok gambar 3.1. yang terdapat 2 blok yaitu

rangkaian utama dan rangkaian tambahan.

PENCACAHBCD

PENAMPILPENGUBAHBCD KE7-SEGMEN

E+

-

PENGONTROL

PENCACAHBINER

PENGUNCI

RANGKAIAN TAMBAHAN

Set Point

SENSOR

PENGUNCI

MOTOR DC

DAC

KENDALILATCH DANRESET

RANGKAIAN UTAMA

TIME BASE1 DETIK

Gambar 3.1. Diagram blok rangkaian pengubah frekuensi kecepatan motor DC

menjadi tegangan DC pada aplikasi pengatur kecepatan motor DC

3.1. Sensor Putaran Motor

Dalam perancangan sensor putaran motor ini dibuat piringan dengan

60 celah sebagai penghalang sinar inframerah. Dengan demikian sekali motor

berputar akan dibaca sebanyak 60 pulsa. Sedangkan untuk optocoupler

lvii

39

Page 58: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

digunakan divais H21A2 spesifikasi dari komponen ini adalah sebagai

berikut:

a. Arus maju maksimum Dioda Inframerah (Id) 60 mA

b. Tegangan maksimum Dioda Inframerah (Vdioda) 1,7 V

c. Arus maksimum CE fototransistor 100mA

Foto transistor akan aktif jika terkena sinar dari dioda inframerah,

sehingga keluaran pemicu menjadi rendah, sebaliknya jika sinar terhalang

keluaran pemicu Schmitt akan tinggi.

Rd

330

74LS14H21A2

5V

Rc

1K

Vo

Gambar 3.2 Gambar Sensor Putaran Motor

Untuk menentukan besarnya hambatan pada dioda inframerah

digunakan hubungan :

d

diodaccd I

VVR

−=

Dengan mengambil Vcc = 5 V, Vdioda = 1,7 V dan Id = 10 mA, maka

besarnya Resistor R1 sebesar:

lviii

Page 59: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Ω 33010.10

1,75R 3d

=

−= −

Sedangkan untuk menentukan besarnya hambatan Rc, diketahui bahwa

arus maksimum adalah 100 mA, diambil arus 5 mA. Dengan menganggap

arus Ic = Ie maka kondisi keluaran maksimal ketika VCE = 0, didapatkan :

( ) KΩ 1Ω1.105.10

V05R 33c ==

−= −

Pemicu Schmitt menggunakan gerbang NOT IC 74LS14 dengan

menghubungkan masukannya pada keluaran transistor. Pada saat keluaran

transistor tinggi maka keluaran pemicu ini rendah begitu sebaliknya. Gambar

rangkaian diperlihatkan pada Gambar 3.2

3.1.1 Kecepatan Putaran Motor

Pada perancangan dalam membaca kecepatan putaran motor,

digunakan celah sebanyak 60 buah, sehingga dalam satu putaran

didapatkan 60 pulsa. Kecepatan yang dihasilkan sebesar untuk waktu

buka selama 1 detik adalah :

rpm 1 .n ditampilka yang angka

.6060

nditampilka yang angkan

bukawaktu detik 60.

celahjumlah nditampilka yang angkan

=

=

=

Sehingga besarnya kecepatan putaran sebesar tampilan tujuh

segmen lama dengan nilai kecepatan motor.

lix

Page 60: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

3.2 Pewaktu Stabil

Frekuensi yang diinginkan pada pewaktu ini adalah 1 Hz sehingga

akan menghasilkan periode sebesar 1 detik. Dengan memanfaatkan frekuensi

jala-jala PLN yang terdapat pada transformator maka didapatkan frekuensi

stabil yang besarnya 50 Hz.

Frekuensi stabil 50 Hz agar didapatkan frekuensi sebesar 1 Hz maka

harus dibagi 10 kemudian dibagi 5 dengan menggunakan 2 buah IC 4017.

Agar pulsa keluaran 1 Hz berbentuk pulsa kotak maka dilewatkan sebuah

Schmitt triger 74LS14.

OUT

D2T10V 6V

CT

0

4017

1413

15

324710156911

12

168

CLKENA

RST

Q0Q1Q2Q3Q4Q5Q6Q7Q8Q9

CO

VDD

VS

S

VCC 5V

R1

10K

4017

1413

15

324710156911

12

168

CLKENA

RST

Q0Q1Q2Q3Q4Q5Q6Q7Q8Q9

CO

VDD

VS

S

1 HZ

74LS14

Gambar 3.3 Gambar Rangkaian Pewaktu Stabil

3.3 Rangkaian Basis Waktu dan Penggerbangan

Pewaktu stabil mempunyai frekuensi keluaran 1 Hz, ini berarti

mempunyai waktu periode sebesar 1 detik. Penggerbangan suatu pencacah

berarti menghidupkan hanya selama 1 periode. Dan dalam periode ini

pencacah akan mencacah banyaknya pulsa yang masuk (tiba pada masuknya)

pulsa ini akan dilewatkan selama waktu buka (gating time). Penggerbangan

lx

Page 61: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

yang dilakukan menggunakan IC TTL 74LS132 yaitu gerbang NAND dengan

2 masukan. Satu masukan berasal dari frekuensi kecepatan motor yang lain

sebagai pengontrol lamanya penggerbangan. Pada perancangan ini memilih

waktu buka selama 1 detik.

Gambar 3.4 Rangkaian Basis Waktu dan Penggerbangan

ke pencacah

FIN

FIN

74LS132

1

23

147

-

OUT

1 detik

+

T GATE

3.4 Rangkaian Pengendali Latch dan Reset

Latch dan reset bekerja setelah pencacah mencacah selama waktu

yang ditentukan, ini berarti terjadi ketika pulsa rendah dari pembagi frekuensi.

Pada perancangan kali ini digunakan gerbang logika NAND 4011 untuk

menghasilkan kedua keluaran tersebut. Agar keduanya bisa bekerja dengan

cepat diperlukan kombinasi R dan C, sebagaimana ditunjukkan pada Gambar

3.5.

1/4 4011

R11K

C1

R2

1K

B

10uF

1/4 4011

C2

1/4 4011

10uF

RESET

LATCH

Gambar 3.5 Rangkaian Pengendali Latch dan Reset

lxi

Page 62: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Waktu tinggi yang diperlukan sebesar 0,01dt dengan mengambil C =

10 µF maka didapatkan nilai R :

KΩ 131.10-610.10

0,01R ===

3.5 Rangkaian Pencacah Biner

Pada rancangan ini digunakan pencacah biner 8 bit up Counter

Asyncron Modulo 256 yang bekerja untuk mengurutkan data dari 0000 0000

sampai 1111 1111 (desimal 0 sampai 255). Karena keluaran dari frekuensi

kecepatan motor setelah dilakukan pengukuran sebesar 2700 rpm. Maka

angka tersebut dibagi 10 menggunakan IC 4017 agar pencacah mampu

bekerja dengan baik.

Pada rancangan ini digunakan IC 4040 yang merupakan pencacah

asinkron. Ini berarti pulsa masukan hanya diberikan pada flip-flop pertama

dan flip-flop berikutnya hanya menerima output flip-flop sebelumnya.

IC 4040 tersusun dari 12 master-slave flip-flop. Dalam rancangan ini

IC hanya digunakan sampai modulus 256 (8 bit) sehingga pada Qg

dihubungkan ke reset, hal ini dapat dilihat pada Gambar 3.6

lxii

A2

A0

A3A4

CLKA1

A5

10

11

9765324131214151

CLK

RST

Q1Q2Q3Q4Q5Q6Q7Q8Q9

Q10Q11Q12

A7A6

Page 63: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Gambar 3.6 Rangkaian IC 4040

3.6 Rangkaian Pencacah BDC Hingga Penampil

Pencacah yang digunakan dalam perancangan ini adalah pencacah

modulo-10 atau yang disebut juga pencacah decade. Pencacah ini digunakan

adalah pencacah modulo-10 tak serempak. Pencacah jenis ini merupakan

pencacah yang paling banyak digunakan. Pencacah modulo-10 dibangun atas

4 buah J – K flip-flop. Pencacah dekade yang digunakan IC TTL 74LS90

yang mencacah dalam sandi 8421 atau dalam biner dari 0000 sampai 1001.

Untuk mencacah frekuensi sampai 2700 hertz maka setidaknya

dibutuhkan 4 buah pencacah dekade. Pada perancangan ini pencacah dekade

yang dipakai adalah pencacah serial yang berarti keluaran dari IC TTL

74LS90 sebelumnya menjadi masukan (pulsa lonceng) pada IC TTL 74LS90

selanjutnya. Rangkaian pencacah ditunjukkan oleh Gambar 3.7

lxiii

Page 64: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Qc

Qa

ke penguncike pengunci

Clk

Qc

Qd

Qd

74LS90

141

2367

129811

AB

R0(1)R0(2)R9(1)R9(2)

QAQBQCQD

Reset

Qc

ke pengunci

74LS90

141

2367

129811

AB

R0(1)R0(2)R9(1)R9(2)

QAQBQCQD

Qb

Qb

Qd

Qa

ke pengunci

Qc

Qb

Qd

Qb

74LS90

141

2367

129811

AB

R0(1)R0(2)R9(1)R9(2)

QAQBQCQD

74LS90

141

2367

129811

AB

R0(1)R0(2)R9(1)R9(2)

QAQBQCQD

Qa

Qa

Gambar 3.7 Rangkaian Pencacah Dekade

Sebelum data hasil pencacah ditampilkan, data hasil pencacahan

tersebut terlebih dahulu dikirimkan ke pengunci yang direpresentasikan oleh

IC TTL 74LS75. Data hasil pencacahan pada IC ini akan disimpan sementara

rangkaian penampil digital yang dibangun dalam perancangan ini dengan

menggunakan 4 buah penampil dengan kapasitas jangkauan 9.999 Hz.

Penampil tersebut dihubungkan dengan penggerak dekoder to seven segment

seperti terlihat dalam gambar 3.8

Masukan pencacah bereaksi terhadap periode yang negatif. Apabila

pencacah pertama telah mencapai cacahan ke sepuluh (1001) maka pencacah

akan kembali ke kondisi semula (0000). Pada saat transisi denyut tersebut

pencacah kedua berubah keadaan. Jalan masuk data pada pencacah dibumikan

lxiv

Page 65: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

atau diberi logika rendah (pin 6, 7) dan jalan masuk beban (pin 3) diberi

masukan tinggi untuk fungsi pencacahan dengan cacahan awal (0000). Jalan

masuk reset pencacah aktif pada logika high (1) dengan denyut reset yang

diberikan oleh rangkaian kendali seperti terlihat dalam Gambar 3.5.

Denyut reset akan me-reset pencacah untuk memulai proses

penghitungan jumlah denyut yang masuk dari pintu penggerbangan. Denyut

latch dari rangkaian kendali digunakan untuk mengambil dan menyimpan data

hasil cacahan dari rangkaian pencacah 74LS90. Rangkaian latch direalisasikan

dengan IC TTL 74LS75 yang menerapkan flip-flop D, pada saat denyut latch

berada logika tinggi, maka data pada jalan masuk akan diteruskan ke jalan

keluar, dan apabila latch pada logika rendah, maka data akan disimpan

sementara.

R1...R7

VCC

Qb

74LS47

7126453

1312111091514

1248BI_RBORBILT

ABCDEFG

300 ohm

Qd

74LS47

10912467

3

8

5

gfedcba

VCC

VCC

dp

7 segment

74LS47

10912467

3

8

5

gfedcba

VCC

VCC

dp

Dari

pen

caca

h

74LS47

10912467

3

8

5

gfedcba

VCC

VCC

dp

74LS75

2

3

6

7

134

1611514101198

D1

D2

D3

D4

C12C34

Q1Q1Q2Q2Q3Q3Q4Q4

Qa

Qd

Latch

R1...R7

300 ohm

74LS75

2

3

6

7

134

1611514101198

D1

D2

D3

D4

C12C34

Q1Q1Q2Q2Q3Q3Q4Q4

Qa

Qc

VCC

Dari

pen

caca

h

7 segment

VCC

74LS75

2

3

6

7

134

1611514101198

D1

D2

D3

D4

C12C34

Q1Q1Q2Q2Q3Q3Q4Q4

7 segment

Dari

pen

caca

h

74LS47

7126453

1312111091514

1248BI_RBORBILT

ABCDEFG

R1...R7

VCC

74LS75

2

3

6

7

134

1611514101198

D1

D2

D3

D4

C12C34

Q1Q1Q2Q2Q3Q3Q4Q4

300 ohm

74LS47

10912467

3

8

5

gfedcba

VCC

VCC

dp

Dari

pen

caca

h

Qc

Qd

Qa

Qb

R1...R7

Qa

300 ohm

Qc

Qd

Qb

74LS47

7126453

1312111091514

1248BI_RBORBILT

ABCDEFG

74LS47

7126453

1312111091514

1248BI_RBORBILT

ABCDEFG

Qc

7 segment

Qb

Gambar 3.8.Rangkaian Pengunci Hingga Penampil

lxv

Page 66: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Denyut latch dan reset tersebut dikendalikan oleh rangkaian kendali

sesuai dengan waktu buka yang diberikan generator basis waktu. IC 74LS347

berfungsi untuk men-dekoder data biner 4 bit dari keluaran register penyangga

74LS75 ke dalam bentuk desimal yang kemudian ditampilkan dalam penampil

seven segment. Seven segment yang digunakan dalam perancangan ini adalah

common anode karena keluaran IC 74LS347 aktif rendah.

Dari gambar 3.8. dapat dilihat antatara 74LS347 denganseven segment

terpasang resistor. Hal itu dimaksudkan agar arus yang masuk pada seven

segment tidak melebihi ambang diperbolehkan yaitu sebesar 20 mA. Dengan

tegangan 5 Volt dan arus maksimum, maka nilai resistor diperoleh dari :

Ω 165mA 20

V 1,7V 5I∆VR

max

=−

==

Dengan : R = hambatan (Ω)

∆V = selisih tegangan (Volt)

Imax = arus maksimum LED (amper)

Dari perhitungan di atas dapat dilihat bahwa dengan nilai R yang kecil

akan menyebabkan nilai I menjadi besar , maka perancangan ini digunakan

nilai R sebesar 300 Ω.

3.7 Konverter Digital ke Analog (DAC)

Pada blok rangkaian ini dibuat dengan konfigurasi yang umum

digunakan dengan IC MC 1408, sebagaimana ditunjukkan pada Gambar 3.9

lxvi

Page 67: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

D4

+

-

V+V-

out

D3

12V

4K7R2

D7

LF 351

MC

1408

56789

10

14

15

2

4

313

1112

16

.

.

.

.

.

.

.

.

.

.VEE

VCC

.

.

.

C

Rref

5K1

D2

5K

R1

D0

-12V

I0Vout

7

-12V

7

5V

6

4

0,01uF

3

D6D5

2

D1

Gambar 3.9. Perancangan Rangkaian DAC

Tegangan referensi adalah +5 Volt, maka pin 15 harus dihubungkan

ke ground. Untuk menekan osilasi tegangan yang timbul pada Vee (-12 V)

maka diperlukan kapasitor yang dihubungkan dengan pin kompensasi (pin

16).

Pada perancangan DAC ini diharapkan tegangan keluaran mempunyai

jangkauan 0 V sampai 5 V. Dengan menerapkan persamaan 2.20.

fo .RIVI = ......................................................................................... (3.1)

dengan mengambil Rf = 4,7.103Ω, maka dapat diketahui besarnya arus-arus

yang mengalir dari keluaran pin 4 IC MC 1408 pada saat keluaran maksimum

5 V yaitu :

A1,064.104,7.10

5I

.4,7.10I5

33o

3o

−==

=

Menurut persamaan 2.20 besarnya arus keluaran dari IC MC 1408 adalah :

⎟⎠⎞

⎜⎝⎛=

256N

RV

Iref

refo ................................................................................ (3.2)

lxvii

Page 68: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Tegangan referensi (Vref) yang digunakan sebesar 5 V, maka

Ω 4680,89.2561,064.10

5.255R

256255

R510.064,1

3ref

ref

3

==

⎟⎠⎞

⎜⎝⎛=

Sehingga didapatkan tahanan referensi (Rref) sebesar 4680,89 Ω atau

4,68 KΩ. Digunakan potensiometer sebesar 5 KΩ.

Arus yang masuk melalui masukan biner (Ii) ditentukan minimum

sebesar 1 mA, maka digunakan tahanan masukan (Ra-h) sebesar

KΩ 51.10

5IVR

3

iha

==

=

Pada penerapannya diambil tahanan sebesar 4,7 KΩ, sehingga arus

yang masuk sebesar

A1,93.104,7.10

5I 33i

−==

Dengan menyusun ulang persamaan 3.1. dan persamaam 3.2 serta

memasukkan nilai-nilai komponen yang diperoleh didapatkan

Dengan memasukkan nilai N yang berbeda maka akan didapatkan

besarnya V1 sesuai dengan besarnya bobot masukan. Sebagai contoh pada

masukan 01111111 mempunyai bobot desimal N = 127, maka besarnya VI

adalah

V1 = 0,0196 (127) = 2,4892 V

Tabel 3.1. menunjukkan besarnya keluaran DAC menurut perhitungan

pada persamaan 3.3 dan arah putaran yang diharapkan.

lxviii

Page 69: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Tabel 3.1. Keluaran DAC

Masukan ∆/V V1(Volt)

00000000

01111111

1

127

0

2,4892

10000000 128 2,5089

10000001

11111111

129

255

2,5284

4.998

3.8 Penguat Penjumlah (Summing Amplifier)

Pada rancangan ini penguat penjumlah digunakan untuk

menjumlahkan 2 masukan tegangan yaitu tegangan yang satu berasal dari set

point dan yang lain berasal dari keluaran DAC.

Di rancangan ini tegangan set point (V1) dirancang dari 0 sampai 5 V,

sehingga digunakan potensiometer yang berfungsi sebagai pengatur tegangan

yang diinginkan. Dengan membuat masukan V2 beda tegangannya dan nilai R

dianggap semua sama maka dihasilkan sebuah penjumlah beda.

lxix

Page 70: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Rf 1K

V2+

Rpot 10KR1 1K

+

- LM741

3

26

7 14 5

VCC 5V

Vo

-

R2 1K

Gambar 3.10 Gambar Rangkaian Penjumlah Beda

Maka bisa diketahui nilai V0 akan sama dengan V0 = - (Vset point-V2)

3.9 Penguat Proporsional

Karena penguat proporsional integral merupakan gabungan dari

penguat proporsional dan penguat integral (integrator) maka penguat ini

mempunyai dua mode yaitu mode P dan mode I.

Diinginkan nilai penguatan dari kendali proporsional (Kp) adalah 1 kali.

Kp = 1

Dari persamaan (2-7) :

1RR

RR

K

V x RR

V

1

2

1

2p

in1

2p

=

=

=

Jika R2 = 100 KΩ

lxx

Page 71: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Ω=

Ω=

=

K 100

1K 100

1R

R maka, 21

Karena R2 merupakan hambatan yang besarnya sama dengan R1 sehingga nilai

penguatan/konstanta pengendali proporsional juga dapat diketahui 1 kali.

Rancangan dari rangkaian kendali proporsional dapat dilihat pada Gambar

3.15.

+

-

V+

V-

out Vout

100K

R2

100K

-12

+12

Vin

R1

Gambar 3.11. Rangkaian Penguat Proporsional

3.9.1 Penguat Integral

Sedangkan pada rangkaian penguat integral diinginkan

mempunyai penguatan sampai 1 kali, sehingga nilai dari hambatan R4

dan capasitor Cf dapat diketahui.

Jika konstanta pengendali integral = 1 kali maka dari persamaan

(2-8):

1R.C

R.C

11

.dtVR.C

1V

f

f

in(t)f

out

=

=

= ∫

lxxi

Page 72: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Ditentukan nilai dari hambatan R = 100 KΩ

µF 10

KΩ 100

1R1C maka, f

=

=

=

sehingga,

fi

ii

R.CTT1K

=

=

karena Ti = 1 maka Ki = 1

Besar kecilnya waktu Ti berpengaruh pada kecepatan tanggapan sistem

terhadap masukan. Hal ini ditunjukkan dalam gambar berikut ini.

E(t)

RC

t

Untuk mengubah nilai penguatan kendali integral sampai 1 kali maka

nilai dari hambatan R diambil nilai 0,1 MΩ. Semakin besar nilai

hambatan R maka nilai penguatannya akan semakin kecil.

Saat R = 0,1MΩ, maka konstanta pengendali integral = 1 kali

Sehingga rangkaian penguat integralnya adalah sebagai berikut :

lxxii

Page 73: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Vin+

-

V+

V-

out

10uFCf

R=0,1M

-12

Vout

+12

Gambar 3.12. Rangkaian Penguat Integral

3.9.2 Penguat Proporsional Integral

Pada perancangan rangkaian penguat proporsional integral

dengan penguat penjumlah ini diinginkan penguatan untuk keluaran

kendali proporsional (Vp) adalah besar 1 kali dan untuk penguatan

keluaran kendali integral (Vi) adalah sebesar 1 kali. Keluaran dari

kendali proporsional dikalikan dengan 1 dan keluaran dari kendali

integral dikalikan dengan 1 baru kemudian dijumlahkan sehingga akan

diperoleh penguatan maximal sebesar 2.

Untuk kendali proporsional : - 1RR

3

7 =

Jika R7 = 10 KΩ

KΩ 101KΩ 10

1R

R 73

=

=

=

Sehingga tegangan keluaran dari kendali proporsional adalah :

⎥⎦

⎤⎢⎣

⎡−−= in

1

2

3

7out .V

RR

RR

V

lxxiii

Page 74: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Karena tegangan masukan adalah antara –5 sampai 5 volt maka

tegangan keluarannya antara –5 sampai 5 volt.

Sehingga besar penguatan dari kendali proporsional adalah 1.

Untuk kendali integral :

1RR

6

7 =

Jika R7 = 10 KΩ

KΩ 101KΩ 10

1R

R 76

=

=

=

maka nilai hambatan R6 = 10 KΩ

fi

ii

R.CTT1K

=

=

karena dalam perancangan nilai Ti sampai 1 maka nilai dari konstanta

pengendali integral berkisar 1.

M(t)

a

b a : output integral

b : output proporsional

E(t)

Gambar 3.13. Hubungan antara input dan output pada kendali PI

Semakin besar nilai dari Kp dan Ki maka nilai tegangan

keluarannya juga akan semakin besar. Hambatan R2 pada rangkaian

lxxiv

Page 75: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

proporsional digunakan untuk mengatur besar kecilnya penguatan pada

kendali proporsional dan hambatan R pada rangkaian integral digunakan

untuk mengatur besar kecilnya penguatan pada kendali integral.

A2

Vin

10uF

Vout

10K

0,1M

-12

-12

R4

A1100K

10K

R3

Cf

+12Vp

A3

+

-

V+

V-

out

-12

R2

+

-

V+

V-

out

+12

R1

R7

10K

+12

Vi

R6

100K

+

-

V+

V-

out

Gambar 3.14. Rangkaian Penguat Proporsional Integral

lxxv

Page 76: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

BAB IV

DATA DAN PEMBAHASAN

Pada bab ini akan dibuktikan hasil perancangan dengan menampilkan

beberapa data hasil percobaan beserta analisa dengan membandingkan antar data

percobaan alat dan pengukuran.

4.1 Hasil akhir perancangan

Pada gambar 4.1 tampak sebuah alat pengubah kecepatan putar motor

dc dan pencacah frekuensi serta pengontrol kecepatan motor DC. Roda cacah

yang terpasang pada motor dibuat dari piringan CD yang diberi celah

kemudian dipasang sensor untuk mendeteksi putaran motor.

Gambar 4.1 Hasil akhir rancangan

lxxvi

Page 77: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Pada tampilan terlihat sebuah digital voltmeter dan 4 digit seven

segment untuk tampilan digital.

Untuk mendapatkan hasil perhitungan putaran motor yang

sesungguhnya maka perlu diketahui besarnya frekuensi putaran motor DC.

Maka gunakan sebuah osiloskop digital untuk mengetahui besarnya kecepatan

motor sesungguhnya. Pengukuran dengan osiloskop digital inilah yang

dijadiakan acuan dalam pengambilan data.

57

4.2 Data Kecepatan Putar Motor DC

Percobaan 1

Gambar 4.2. Gambar Sinyal Output Sensor Kecepatan Motor DC

Dengan Vi = 3,5 V.

lxxvii

Page 78: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Dari gambar 4.2.

Diketahui perioda ( T2) = 594,6 uS.

Maka frekuensi kecepatan motor dc = 1 : 594,5 uS.

= 1681,8 Hz.

Rpm = Fin

= 1681,8 rpm.

Percobaan 2

Gambar 4.3.Gambar Sinyal Output Sensor Kecepatan Motor DC Dengan Vi=4 V.

Diketahui Perioda ( T2 ) = 466,8 uS.

Frekuensi kecepatan motor dc = 1 : 466,8 uS.

= 2142,2 Hz.

lxxviii

Page 79: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Rpm = Fin.

= 2142,2 rpm.

4.3 Perbandingan Pengukuran Kecepatan dengan Osiloskop Digital dan

Hasil Perancangan Sistem

Sesuai dengan perancangan, tegangan masukan 0 sampai 5 volt akan

dikalibrasi dalam skala kecepatan putaran motor DC rotation per minute

(rpm).

Persamaan yang dipakai untuk memperoleh kecepatan putarannya

adalah:

Rpm = ikxhjumlahcela

Fin det60

Karena jumlah celahnya 60 buah, maka persamaannya dapat

disederhanakan menjadi:

Rpm = Fin ……………………………………………………...…(4-1)

Dari percobaan diketahui bahwa pada putaran rendah terjadi ripple

rpm yang lebih besar atau dengan kata lain peritungan kecepatan motor oleh

sensor kecepatan ini lebih stabil pada putaran tinggi. Hal ini juga dikarenakan

motor kurang stabil pada putaran rendah.

lxxix

Page 80: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Tabel. 4.1 Perbandingan antara data percobaan pada tampilan digital

dengan pengukuran menggunakan Osiloskop Digital.

No Vi (volt) Pengukuran dengan Osiloskop Digital (rpm)

Pengukuran Hasil Rancangan

(rpm)

Frekuensi putar motor

( Hz ) 1 3.0 1384 1383 23

2 3.1 1448 1441 24

3 3.2 1492 1488 24

4 3.3 1518 1514 25

5 3.4 1592 1558 25

6 3.5 1741 1730 28

7 3.6 1810 1805 30

8 3.7 1854 1840 30

9 3.8 1896 1890 31

10 3.9 1926 1925 32

11 4.0 2124 2115 35

12 4.1 2162 2190 36

13 4.2 2262 2262 37

14 4.3 2298 2297 38

15 4.4 2361 2350 39

lxxx

Page 81: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

16 4.5 2384 2380 39

17 4.6 2412 2412 40

18 4.7 2416 2413 40

19 4.8 2420 2415 40

20 4.9 2421 2418 40

21 5.0 2428 2424 40

Pada percobaan 1 sampai 21 data diambil dengan masukkan frekuensi

dari putaran motor. Jika motor DC yang terpasang memiliki kecepatan

maksimal 2428 rpm, maka tidak dapat membuktikan bahwa alat ukur mampu

mengukur hingga kecepatan 9999 rpm. Untuk membuktikan harus ada

masukan frekuensi tertentu dari AFG.

Berikut adalah grafik yang menunjukkan perbandingan antara

pengukuran osiloskop digital dengan percobaan.

0

500

1000

1500

2000

2500

3000

1000 1200 1400 1600 1800 2000 2200 2400

lxxxi

Has

il P

erco

baan

( rp

m )

Page 82: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Hasil Pengukuran Dengan Osiloskop Digital ( rpm )

Gambar 4.4.Grafik Perbandingan Pengukuran Osiloskop Digital dengan

percobaan

4.5.1 Perhitungan Kesalahan Pengukuran pada Tampilan Digital

Hasil pengukuran pada tampilan digital juga masih memiliki

kesalahan. Dengan melihat tabel 4.2 di atas, perhitungan kesalahan

dapat dilakukan dengan persamaan.

E = 1 rpm pengukuran – rpm tampilan digital…………………… (4-2)

ε = %100.ranrpmpenguku

galat

Keterangan:

E = galat (true error)

ε = Persen galat

Contoh perhitungan kesalahan pengukuran pada tampilan digital untuk

Percobaan I :

Rpm tampilan digital = 1383

Rpm pengukuran = 1384

Maka :

E = l 1384 - 1383 l = 1rpm

lxxxii

Page 83: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

ε = 07,0%100.13841

=rpm

Hasil perhitungan kesalahan pengukuran pada tampilan digital untuk

tiap percobaan tampak pada tabel 4.2. berikut:

Perhitungan Kesalahan Percobaan Pengukuran osiloskop digital

(rpm)

Tampilan digital E (rpm) ε (%)

1 1384 1383 1 0,07

2 1448 1441 7 0,48

3 1492 1488 4 0,26

4 1518 1514 4 0,26

5 1592 1558 34 2,13

6 1741 1730 11 0,63

7 1810 1005 5 0,27

8 1854 1840 14 0,75

9 1896 1890 6 0,31

10 1926 1925 1 0,07

11 2124 2115 9 0,42

12 2198 2190 8 0,36

13 2262 2262 0 0

lxxxiii

Page 84: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

14 2298 2297 1 0,07

15 2361 2350 11 0,46

16 2384 2380 4 0,16

17 2412 2412 0 0

18 2416 2415 1 0,07

19 2420 2418 2 0,08

20 2424 2418 4 0,16

21 2428 2424 2 0,08

Tabel 4.2 . Perhitungan kesalahan pengukuran kecepatan motor DC

Tabel 4.2. diatas menunjukkan kesalahan pengukuran

kecepatan motor pada tampilan digital. Tampak bahwa kesalahan

pengukuran maksimal mencapai 2,13%, sehingga alat ukur yang

digunakan bisa sudah mendekati ( terkalibrasi ) dengan alat ukur

osiloskop digital.

4.4 DAC (Digital To Analog Converter)

Pada blok ini, terjadi hubungan linear antara bobot masukan dengan

keluarannya. Tabel 4.3 menunjukkan rerata data pengukuran dan data

perhitungan pada blok DAC dengan tegangan referensi 5v. masukkan

dinyatakan dalam bentuk biner dan desimal sedangkan keluarannya

dinyatakan sebagai V1 dengan satuan volt.

Tabel 4.3 Data Perhitungan dan Pengukuran pada DAC

N Masukan V1 n masukan V1

lxxxiv

Page 85: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Biner Desimal xi yi biner desimal xi yi

1 0000 0000 0 0 0.02 18 1000 1000 136 2.666 2.63

2 0000 1000 8 0.157 0.173 19 1001 0000 144 2.822 2.78

3 0001 0000 16 0.314 0.32 20 1001 1000 152 2.979 2.94

4 0001 1000 24 0.47 0.483 21 1010 0000 160 3.136 3.087

5 0010 0000 32 0.627 0.63 22 1010 0000 168 3.293 3.243

6 0010 1000 40 0.784 0.793 23 1011 0000 176 3.45 3.397

7 0011 0000 48 0.941 .947 24 1011 1000 184 3.606 3.543

8 0011 1000 56 1.098 1.103 25 1100 0000 192 3.763 3.693

9 0100 0000 64 1.254 1.25 26 1100 1000 200 3.92 3.847

10 0100 1000 72 1.411 1.407 27 1101 0000 208 4.077 3.997

11 0101 0000 80 1.568 1.557 28 1101 1000 216 4.234 4.15

12 0101 1000 88 1.725 1.707 29 1110 0000 224 4.39 4.3

13 0110 0000 96 1.882 1.863 30 1110 0000 232 4.547 4.457

14 0110 1000 104 2.038 2.02 31 1111 0000 240 4.704 4.603

15 0111 0000 112 2.195 2.173 32 1111 1000 248 4.861 4.757

16 0111 1000 120 2.352 2.32 33 1111 1111 255 4.998 4.883

17 1000 0000 128 2.509 2.477

xi : data perhitungan yi : Data perngukuran

. Pada awal masukan dengan bobot desimal 0 atau dalam biner 0000 0000 ,

didapatkan keluaran V1 dari pengukuran sebesar 0,02 V dan dari perhitungan

sebesar 0 V. nilai tengah dari masukan (12810 = 1000 00002) dihasilkan keluaran

sebesar 2,4767 V (pengukuran) dan 2,5088 V (perhitungan), untuk masukan

tertinggi (25510= 1111 11112) didapatkan keluaran sebesar 4,8833 V (pengukuran)

dan 4,998 V (perhitungan).

Dengan meninjau perubahan keluaran setiap pengukuran maka akan

diketahui besarnya beda tegangan keluaran setiap tingkat masukan. Perubahan

keluaran setiap tingkat pengukuran (∆ Vukur ) sebesar:

∆ Vukur = pengukuran ke_n –pengukuran ke_(n-1) dengan adalah

urutan pengukuran.

lxxxv

Page 86: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Sehingga besaranya beda tegangan keluaran setiap tingkat masukan(

∆V) sebesar: 8

Vukurv ∆=∆

Diambil contoh pada pengukuran ke-8 dan ke-9 sebagai berikut:

∆Vukur= pengukuran ke-9 – pengukuran ke-(8)

∆Vukur= 1,10V – 0,95V

∆Vukur= 0,15V

Maka perubahan tegangan keluaran setiap tingkat masukannya sebesar:

8VukurV ∆

=∆

VVV 01875,08

15,0==∆

Tabel 4.4 Perubahan Keluaran Setiap Tingkat Pengukuran

Masukan Masukan

Biner desimal V1 ∆V ukur

biner desimal V1 ∆ Vukur

0000 0000 0 0.02 1000 1000 136 2.63 0.15

0000 1000 8 0.17 0.15 1001 0000 144 2.78 0.15

0001 0000 16 0.32 0.16 1001 1000 152 2.94 0.16

0001 1000 24 0.48 0.15 1010 0000 160 3.09 0.15

0010 0000 32 0.63 0.16 1010 1000 168 3.24 0.16

0010 1000 40 0.79 0.16 1011 0000 176 3.40 0.15

0011 0000 48 0.95 0.15 1011 1000 184 3.54 0.15

lxxxvi

Page 87: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

0011 1000 56 1.10 0.16 1100 0000 192 3.69 0. 15

0100 0000 64 1.25 0.15 1100 1000 200 3.85 0.15

0100 1000 72 1.41 0.16 1101 0000 208 4.00 0.15

0101 0000 80 1.56 0.15 1101 1000 216 4.15 0.15

0101 1000 88 1.71 0.15 1110 0000 224 4.30 0.15

0110 0000 96 1.86 0.16 1110 1000 232 4.46 0.16

0110 1000 104 2.02 0.16 1111 0000 240 4.60 0.15

0111 0000 112 2.17 0.15 1111 1000 248 4.76 0.15

0111 1000 120 2.32 0.15 1111 1111 255 4.88 0.13

1000 0000 128 2.48 0.16 Rereta ∆Vukur 0.15

Dengan perhitungan semua data pengukuran didapatkan rerata perubahan setiap

tingkat pengukuran sebesar 0,15 V sehingga rerata kenaikan setiap tingkat

masukan sebsars 0,01875 V artinya resolusi bagi DAC yang di terapkan pada alat

ini sebesar 0,01875 V, hal tersebut ditunjukan padatabel 4.4.

4.4.1. Perbandingan Hasil Perhitungan Dengan Hasil Rancangan DAC

Pada perancangan ini digunakan DAC 8bit sehingga data

digital maksimum yang dapat di ubah ke analog sebesar 255 desimal

atau 11111111 biner. Maka untuk perancangan ini nilai rpm dibagi

dengan pembagi 10.

lxxxvii

Page 88: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Setelah DAC dikalibrasi dengan nilai masukan 0 sampai 255

desimal dan output 0 sampai 5 volt maka dapat dilihat data hasil

percobaan sebagai berikut:

RPM: 10 Percobaan Vi (v) Biner Desimal

Vout (volt) Vout Perhitungan (0,0196XN)

1 3.0 10001010 138 2.74 2.70 2 3.1 10010000 144 2.87 2.82 3 3.2 10010100 148 2.96 2.90 4 3.3 10010111 151 3.02 2.95 5 3.4 10011011 155 3.09 3.03 6 3.5 10101101 173 3.45 3.39 7 3.6 10110100 180 3.56 3.52 8 3.7 10111000 184 3.67 3.60 9 3.8 10111101 189 3.75 3.70 10 3.9 11000000 192 3.86 3.76 11 4.0 11010011 211 4.21 4.13 12 4.1 11011011 219 4.34 4.29 13 4.2 11100010 226 4.46 4.42 14 4.3 11100101 229 4.54 4.48 15 4.4 11101011 235 4.64 4.60 16 4.5 11101110 238 4.70 4.66 17 4.6 11110001 241 4.74 4.72 18 4.7 11110001 241 4.74 4.72 19 4.8 11110001 241 4.76 4.72 20 4.9 11110001 241 4.78 4.72 21 5.0 11110010 242 4.80 4.74

Tabel 4.6 Perbandingan output DAC perancangan dengan hasil perhitungan

Dari tabel 4.6 dapat di lihat perbedaan keluaran DAC dari hasil

perancangan dan hasil perhitungan, perbedaan tersebut umumnya

terjadi karena beberapa hal:

1. Kesalahan-kesalahan umum yang disebabkan oleh kesalahan

manusia

a. Kalibrasi yang tidak tepat

lxxxviii

Page 89: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Saat akan dirancang, DAC harus benar-benar dikalibrasi

dengan nilai tegangan output 0 sampai 5 Volt. Caranya adalah

dengan menyesuaikan frekuensi kecepatan motor DC dengan

keluaran yang dihasilkan sesuai perancangan. Seringkali sulit

untuk mendapatkan nilai yang benar-benar tepat dan sesui

dengan perancangan.

2. Kesalahan Sistematik

a. Pemasangan roda cacah

Karena pemasangan roda cacah, motor DC akan terbebani

sehingga frekuensi kecepatan motor akan berkurang juga. Hal

tersebut akan menyebabkan kecepatan motor tidak maksimum.

b. Pemasangan roda cacah yang tidak tepat, dapat menyebabkan

beberapa celah tidak terdeteksi oleh sensor.

4.5. Percobaan Pengendali Proporsional Integral

Dengan Kp = 1, Ki = 1, Cf = 10uF,R = 100K sehingga didapat nilai

Ti = R.Cf (1s) . Maka diperoleh data percobaan Proporsional Integral

sebagai berikut.

Tabel 4.7 Proporsional Integral

lxxxix

Page 90: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Tanpa Pengontrol Dengan Pengontrol

No RPM (ref) Vo (volt)

V set point

(volt) RPM

(osilasi maks)

Vout

maks(volt)

1 0 0 0 0 0

2 0 0 0.5 1997 3.92

3 0 0 1.0 2038 3.93

4 0 0 1.5 2064 3.95

5 0 0 2 2094 4.11

6 0 0 2.5 2352 4.64

7 1383 2.74 3 2368 4.70

8 1730 3.45 3.5 2373 4.71

9 2115 4.21 4 2411 4.74

10 2380 4.70 4.5 2418 4.76

11 2424 4.78 5.0 2424 4.80

Tabel 4.7 Menunjukkan data percobaan dari pengontrol Integral

Dengan beberapa percobaan maka dapat dilihat lebih jelas bentuk

kurva hubungan antara nilai set point masukan dengan tegangan yang

dihasilkan serta tanggapan waktu tundanya.

Dari Tabel Proporsional Integral tersebut dapat kita buat kurva

respon out put dari suatu kontrol integral.

Percobaan 1 dengan Vset point = 0.5 V

xc

Page 91: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

0

1

2

3

4

5

0 5 10 15 20

Series1Waktu (detik)

Output F to V (V)

Gambar 4.5. Respon output Kontroler PI , dengan Vset = 0.5 V ,Kp – Ki = 1 Dapat diketahui Mp (Lonjakan Maksimal) : 3.8 Volt. Percobaan 2 dengan Vset point = 2V

0

1

2

3

4

5

0 5 10 15

Series1

Waktu (detik)

output F to V (v)

Gambar 4.6. Respon output kontroler PI Dengan Vset = 2 V , Kp = Ki =1 Dapat diketahui Mp ( Lonjakan Maksimal ) : 4.11 Volt.

xci

Page 92: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Percobaan 3 Dengan Vset point = 3 V.

0

1

2

3

4

5

0 5 10 15

Series1

Waktu(detik)

Output F to V (V)

Gambar 4 7 Respon output kontroler PI dengan Vset = 3 V , Kp = Ki =1. Dapat diketahui Mp ( Lonjakan Maksimal ) : 4.7 Volt. Percobaan 4 Dengan Vset point = 4 V.

0123456

0 5 10 15

Series1

Waktu (detik)

Output F to V (V)

Gambar 4 Respon output kontroler PI dengan Vset = 4 v , Kp = Ki = 1. Dapat diketahui Mp (Lonjakan Maksimal ) : 4.8 Volt.

xcii

Page 93: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

Dari kurva diatas dapat diketahui bahwa setiap percobaan

dengan masukan set point yang berbeda – beda terjadi proses osilasi

dan memiliki error yang cukup besar. Tegangan keluaran akan

cenderung stabil jika tegangan masukan set point semakin besar.

xciii

Page 94: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

BAB V

KESIMPULAN DAN SARAN

5.1 Kesimpulan

Dari hasil pengamatan dan pembahasan yang telah diuraikan pada

bagian sebelumnya dapat diambil beberapa kesimpulan antara lain:

1. Alat pengubah kecepatan putar motor dc menjadi tegangan dc ini mampu

mengubah putaran motor dc dari 1383 rpm sampai 2424 rpm menjadi

tegangan dc dari 2.74 volt sampai 4.74 volt , dan tidak sesuai dengan

rancangan karena motor dc yang digunakan tidak mampu berputar pada

tegangan di bawah 3 volt.

3. Alat ini mempunyai 2 tampilan yaitu untuk menunjukkan kecepatan putaran

. motor dan tegangan DC yang dihasilkan

5.2 Saran

Alat ukur yang telah dibuat masih jauh dari sempurna, maka penulis

mencoba untuk memberikan saran bagi pengembangan yang lebih lanjut agar

dapat menjadi lebih baik, yaitu:

1. Untuk mengurangi adanya getaran yang ditimbulkan putaran motor,

sebaiknya motor diletakkan terpisah ,serta memilih motor dc yang mampu

berputar pada tegangan rendah.

2. Sebaiknya membuat piringan bercelah dari bahan yang seringan mungkin,

karena akan mengurangi beban pada motor DC.

xciv

74

Page 95: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

DAFTAR PUSTAKA

Muhsin, Muhammad. Elektronika Digital. Penerbit ANDI.

Yogyakarta.2004.

Pitowo, Endra. Robotika. Penerbit ANDI. Yogyakarta. 2006.

Tokheim, Roger L. Digital Elektronics, Second Edition. McGraw Hill Inc.

1990.

Tokheim, Roger L. Digital Principle, Sixth Edition . Departement of

Industrial Education. 1994.

Lee, Samuel C. Digital Circuits and Logic Design. Prentice Hall Inc.

1976.

http:// www.datasheetcatalog. com

xcv

Page 96: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

LAMPIRAN

xcvi

Page 97: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

+

+12

R9

+

-

V+

V-

out

-

R2 1K

0,1M

R1

R810K

+

10K

-12

+

-V

+V

-

out

R6-12

R7

OutputDAC

+12

10K

R1 1K

MOTOR DC

R3

-12

+

-

V+

V-

out

10uF

100K

10K

A1

R4

V2+12

Rf 1K

Vp

Vcc +15V

Q1

BD239C

+

-

V+

V-

out

A2+12

-12

VCC 5V

Cf

Rangkaian PengontrolRpot 10K

R2

10K

100K

-A3

+

- LM741

3

26

7 14 5

+

-

V+

V-

out

Vi

xcvii

Page 98: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

74LS75

2

3

6

7

134

1611514101198

D1

D2

D3

D4

C12C34

Q1Q1Q2Q2Q3Q3Q4Q4

C1

C2

5V

10uF

1/4 4011

+

-

V+V-

out

Rangkaian Sensor Sampai DAC

5K1

1/4 4011 Latch

5K

SW 2

Rd

330

74LS14

Rc

1K

0,01uF

R2

Vout

10uF

LF 351

-12V

4017

1413

15

324710156911

12

168

CLKENA

RST

Q0Q1Q2Q3Q4Q5Q6Q7Q8Q9

CO

VD

DV

SS

T1220V 6V

CT

0

4017

1413

15

324710156911

12

168

CLKENA

RST

Q0Q1Q2Q3Q4Q5Q6Q7Q8Q9

CO

VDD

VS

S

6

4040

10

11

9765324131214151

CLK

RST

Q1Q2Q3Q4Q5Q6Q7Q8Q9

Q10Q11Q12

H21A2

2

Rref

MC

1408

56789

10

14

15

2

4

313

1112

16

.

.

.

.

.

.

.

.

.

.VEE

VC

C..

.

SW1

D2

12V

R11K

3

-12V

VCC

7

74LS132

1

23

147

4

4017

1413

15

324710156911

12

168

CLKENA

RST

Q0Q1Q2Q3Q4Q5Q6Q7Q8Q9

CO

VD

DV

SS

5V

VCC 5V

1/4 4011 Reset

7

C

R2

1K

R1 4K7

74LS14

SW 3

74LS75

2

3

6

7

134

1611514101198

D1

D2

D3

D4

C12C34

Q1Q1Q2Q2Q3Q3Q4Q4

R1

10K

xcviii

Page 99: MENGUBAH FREKUENSI KECEMATAN MOTOR DC

74LS75

2

3

6

7

134

1611514101198

D1

D2

D3

D4

C12C34

Q1Q1Q2Q2Q3Q3Q4Q4

VCC

7 segment

74LS47

10912467

3

8

5

gfedcba

VCC

VCC

dp

Rangkaian Pencacah Sampai Penampil

300 ohm

300 ohm

74LS75

2

3

6

7

134

1611514101198

D1

D2

D3

D4

C12C34

Q1Q1Q2Q2Q3Q3Q4Q4

SW 1

74LS90

141

2367

129811

AB

R0(1)R0(2)R9(1)R9(2)

QAQBQCQD

74LS90

141

2367

129811

AB

R0(1)R0(2)R9(1)R9(2)

QAQBQCQD

VCC

74LS132

1

23

147

SW 2

7 segment

74LS47

7126453

1312111091514

1248BI_RBORBILT

ABCDEFG

R1...R7

74LS47

10912467

3

8

5

gfedcba

VCC

VCC

dp

74LS90

141

2367

129811

AB

R0(1)R0(2)R9(1)R9(2)

QAQBQCQD

7 segment

74LS47

10912467

3

8

5

gfedcba

VCC

VCC

dp

R1...R7

300 ohm

213

7 segment

VCC

74LS47

7126453

1312111091514

1248BI_RBORBILT

ABCDEFG

R1...R7

LatchSW 3

74LS90

141

2367

129811

AB

R0(1)R0(2)R9(1)R9(2)

QAQBQCQD

R1...R7

74LS47

7126453

1312111091514

1248BI_RBORBILT

ABCDEFG

Reset

74LS75

2

3

6

7

134

1611514101198

D1

D2

D3

D4

C12C34

Q1Q1Q2Q2Q3Q3Q4Q4

VCC

74LS47

10912467

3

8

5

gfedcba

VCC

VCC

dp

300 ohm

74LS47

7126453

1312111091514

1248BI_RBORBILT

ABCDEFG

74LS75

2

3

6

7

134

1611514101198

D1

D2

D3

D4

C12C34

Q1Q1Q2Q2Q3Q3Q4Q4

xcix