lab register

17
PRAKTIKUM TEKNIK DIGITAL Percobaan XI REGISTER I. Tujuan Setelah menyelesaikan praktikum Encoder ini, mahasiswa diharapkan : 1. Mampu memahami prinsip kerja Register SISO, SIPO, PISO dan PIPO. 2. Mampu mengoperasikan IC Register 7491, 74164, 74165 dan 74195. 3. Mampu mengaplikasikan Register sebagai penyimpan data. II. Alat dan Komponen 1. Protoboard satu buah 2. IC Register 7491, 74164, 74165 dan 74195 @ satu buah 3. Modul LED satu buah 4. Catu Daya 0 -5 Volt DC satu buah 5. Multimeter satu buah 6. Kabel penghubung secukupnya III. Teori Dasar Register adalah kumpulan beberapaFlip-Flop yang digunakanuntuk menyimpan data biner, tiap Flip-Flop dapat menyimpan data biner 1 bit. Pengis Register berarti me-set atau me-reset masing-masing FF sehingga sesuai dengan data yang disimpan. Semakin panjang data biner yang disimpan oleh register, m jumlah Flip-Flopnya juga semakin banyak. Register akan menyimpan data baru ada data baru diberikan pada masukan dan Clock register diaktifkan. Ada dua cara untuk menyimpan atau mengambil data pada Register, yaitu b per bit secara berurutan dengan sinkronisasi sebuah pulsa Clock, yang dinama dan beberapa bit secara serentak / paralel. Sehingga berdasarkan masuk dan ke data pada Register, maka Register terbagi atas : POLITEKNIK NEGERI MALANG REGISTER 1

Upload: aldo-maulana

Post on 22-Jul-2015

390 views

Category:

Documents


11 download

TRANSCRIPT

PRAKTIKUM TEKNIK DIGITAL Percobaan XI

REGISTERI. Tujuan Setelah menyelesaikan praktikum Encoder ini, mahasiswa diharapkan :1. 2. 3.

Mampu memahami prinsip kerja Register SISO, SIPO, PISO dan PIPO. Mampu mengoperasikan IC Register 7491, 74164, 74165 dan 74195. Mampu mengaplikasikan Register sebagai penyimpan data.

II. Alat dan Komponen1. 2.

Protoboard IC Register 7491, 74164, 74165 dan 74195 Catu Daya 0 - 5 Volt DC

satu buah @ satu buah satu buah satu buah satu buah secukupnya

3. Modul LED4.

5. Multimeter 6. Kabel penghubung

III. Teori Dasar Register adalah kumpulan beberapa Flip-Flop yang digunakan untuk menyimpan data biner, tiap Flip-Flop dapat menyimpan data biner 1 bit. Pengisian Register berarti me-set atau me-reset masing-masing FF sehingga sesuai dengan bit data yang disimpan. Semakin panjang data biner yang disimpan oleh register, maka jumlah Flip-Flopnya juga semakin banyak. Register akan menyimpan data baru jika ada data baru diberikan pada masukan dan Clock register diaktifkan. Ada dua cara untuk menyimpan atau mengambil data pada Register, yaitu bit per bit secara berurutan dengan sinkronisasi sebuah pulsa Clock, yang dinamakan serial dan beberapa bit secara serentak / paralel. Sehingga berdasarkan masuk dan keluarnya data pada Register, maka Register terbagi atas : POLITEKNIK NEGERI MALANG REGISTER1

PRAKTIKUM TEKNIK DIGITAL 3.1 Register SISO (Serial Input - Serial Output) / Geser (Shift) Data masuk ke dalam dan ke luar dari Register secara serial (bit per bit).

M a su k a n D a ta

D

SET

Q

D

SET

Q

D

SET

Q

D

SET

Q

K e lu a r a n D a ta

C LR

Q

C LR

Q

C LR

Q

C LR

Q

C lo c k

Gambar 3.1 Register SISO (Serial Input - Serial Output)

Untuk mengetahui proses penyimpanan dan pengambilan data pada Register SISO maka semua FF harus di reset dahulu, lalu data pertama masuk dari masukan DFFA, dengan memberikan satu pulsa Clock, maka data pertama tersebut akan muncul pada keluaran Q-FFA, sedangkan keluaran FF lainnya tetap. Data kedua diberikan lagi pada masukan D-FFA, lalu dengan pemberian pulsa Clock kedua menyebabkan data di masukan D-FFA muncul di keluaran Q- FFA, sedangkan data dari keluaran Q FFA (sebagai data masukan FFB) muncul pada keluaran Q FFB. Data ketiga diberikan lagi pada masukan D-FFA, lalu dengan pemberian pulsa Clock ketiga menyebabkan data di masukan D-FFA muncul di keluaran Q-FFA, sedangkan data dari keluaran Q-FFA muncul pada keluaran Q-FFB dan data dari keluaran Q FFB muncul pada keluaran QFFC. Data keempat diberikan lagi pada masukan D-FFA, lalu dengan pemberian pulsa Clock keempat menyebabkan data di masukan D-FFA muncul di keluaran Q-FFA, sedangkan data dari keluaran Q-FFA muncul pada keluaran Q-FFB dan data dari keluaran Q-FFB muncul pada keluaran Q FFC serta data dari keluaran Q FFC muncul pada keluaran Q-FFD. Jadi untuk register SISO dengan empat FF membutuhkan empat FF. Untuk lebih jelasnya mengetahui cara kerja dari Register SISO perhatikanlah tabel 3.1 dibawah ini.

POLITEKNIK NEGERI MALANG

REGISTER

2

PRAKTIKUM TEKNIK DIGITAL Tabel 3.1 Register SISO 4 Bit Clock 0 1 2 3 4 Masukan Data 1 0 1 1 0 Keluaran FF QA QB QC QD 0 0 0 0 1 0 0 0 0 1 0 0 1 0 1 0 1 1 0 1 Keluaran Data 0 0 0 0 1

Contoh IC Register SISO adalah 7491, Register geser 8 bit yang menggunakan FF-RS Master Slave dengan dua masukan A dan B. Jika masukan data serial dihubungkan ke masukan A, maka masukan B dibuat tinggi atau sebaliknya, sedangkan keluaran data pada keluaran QH.O u tp u t Q 14H

In p u t Q 13H

In p u t B 11 G ND 10 C lo ck 9 NC 8

A 12

7491

1 NC

2 NC

3 NC

4 NC V

5CC

6 NC

7 NC

(a) Diagram PinA BS RSET

Q Q

S R

SET

Q Q

S R

S ET

Q Q

S R

SET

Q Q

S R

SET

Q Q

S R

SET

Q Q

S R

SET

Q Q

S R

SET

Q Q

QH QH

C LR

C LR

C LR

C LR

C LR

C LR

C LR

C LR

C lo c k

(b) Diagram Fungsional

POLITEKNIK NEGERI MALANG

REGISTER

3

PRAKTIKUM TEKNIK DIGITAL (c) Tabel Fungsi Masukan pada tn A H L X B H X L Keluaran pada tn+8 QH H L L QH L H H

Gambar 3.2 Register SISO 8-Bit 7491 Dari lembaran data ditunjukkan bahwa nilai minimum dari frekuensi Clock maksimum fMAX adalah 10 MHz, sehingga perioda Clocknya adalah : T= 1 = 0,1 detik 10 X 10 6 Hz

Untuk itu IC 7491 terbebani penuh setelah 8 pulsa Clock dan waktu maksimum yang diperlukan adalah 8 T = 0,8 detik. 3.2 Register SIPO (Serial Input - Paralel Output)

K e lu a r a n D a taD D D D

0

1

2

3

M a su k a n D a ta

D

SET

Q

D

SE T

Q

D

SE T

Q

D

S ET

Q

C L R

Q

C LR

Q

C L R

Q

C LR

Q

C lo c k

Gambar 3.3 Register SIPO (Serial Input - Paralel Output) POLITEKNIK NEGERI MALANG REGISTER4

PRAKTIKUM TEKNIK DIGITAL Data masuk kedalam Register secara serial dan keluar dari Register secara paralel (serempak). Proses penyimpanan dan pengambilan data pada Register SIPO yaitu semua FF harus di reset dahulu lalu data pertama masuk dari masukan D-FF A, dengan memberikan satu pulsa Clock, maka data tersebut akan muncul pada keluaran Q0, sedangkan keluaran Q1 datanya sama dengan data pada keluaran Q0 sebelumnya, keluaran Q2 datanya sama dengan data pada keluaran Q1 sebelumnya dan keluaran Q3 datanya sama dengan data pada keluaran Q2 sebelumnya. Data kedua diberikan lagi pada masukan D-FFA, lalu dengan pemberian pulsa Clock kedua menyebabkan data di masukan D-FFA muncul di keluaran Q0, sedangkan data dari keluaran Q0 sebelumnya sebagai data masukan FFB muncul pada keluaran Q1, sedangkan keluaran Q2 datanya sama dengan data pada keluaran Q1 sebelumnya dan keluaran Q3 datanya sama dengan data pada keluaran Q2 sebelumnya. Data ketiga diberikan lagi pada masukan D-FFA, lalu dengan pemberian pulsa Clock ketiga menyebabkan data di masukan D-FFA muncul di keluaran Q0, sedangkan data dari keluaran Q0 sebelumnya sebagai data masukan D-FFB muncul pada keluaran Q1 dan data dari keluaran Q1 sebelumnya sebagai data masukan D-FFB muncul pada keluaran Q2, sedangkan keluaran Q3 datanya sama dengan data pada keluaran Q2 sebelumnya. Data keempat diberikan lagi pada masukan D-FFA, lalu dengan pemberian pulsa Clock keempat menyebabkan data di masukan D-FFA muncul di keluaran Q0, sedangkan data dari keluaran Q0 sebelumnya sebagai data masukan D-FFB muncul pada keluaran Q1 dan data dari keluaran Q1 sebelumnya sebagai data masukan D-FFC sebelumnya sebagai data masukan D-FFC muncul pada keluaran Q2 serta data dari keluaran Q2 sebelumnya sebagai data masukan D-FFB muncul pada keluaran Q3. Transfer data paralel lebih cepat daripada serial, jadi untuk register SIPO dengan empat FF membutuhkan satu pulsa Clock untuk mengeluarkan 4 data pada 4 keluaran paralel, sedangkan waktu untuk memasukkan data pada semua FF memerlukan 4 pulsa Clock. Untuk lebih jelasnya dalam mengetahui cara kerja dari Register SISO perhatikanlah tabel 3.2 dibawah ini.

POLITEKNIK NEGERI MALANG

REGISTER

5

PRAKTIKUM TEKNIK DIGITAL Tabel 3.2 Register SIPO 4 Bit Clock 0 1 2 3 4 Masukan Data 1 0 1 1 0 Keluaran Data Q0 Q1 Q2 Q3 0 0 0 0 1 0 0 0 0 1 0 0 1 0 1 0 1 1 0 1

Contoh IC Register SIPO adalah 74164, Register 8 bit yang menggunakan FFD dengan dua masukan A dan B. Jika masukan data serial dihubungkan ke masukan A, maka masukan B dibuat tinggi atau sebaliknya, dengan 8 keluaran (QA sampai QH). Keluaran data serial juga dapat diperoleh pada keluaran QH.Ou tp u t VCC

Q 13

H

Q 12

G

Q 11

F

Q 10

E

C lear 9

C lock 8

14

74164

1 A In p u t Serial

2 B Q

3A

4 QB

5 Q O u tpu tC

6 QD

7 G ND

(a) Diagram PinQA

Q

B

Q

C

Q

D

Q

E

Q

F

Q

G

Q

H

A B C lo c k C le a r

D

SE T

Q Q

D

S ET

Q Q

D

SE T

Q Q

D

S ET

Q Q

D

SET

Q Q

D

S ET

Q Q

D

S ET

Q Q

D

SET

Q Q

C LR

C LR

C LR

C LR

C LR

C LR

CLR

C LR

(b) Diagram Fungsional

POLITEKNIK NEGERI MALANG

REGISTER

6

PRAKTIKUM TEKNIK DIGITAL (c) Tabel Fungsi Masukan Clear Clock A L X X H L X H H H L H X B X X H X L QA L QA0 H L L Keluaran QB ..... L QB0 QAn QAn QAn QH L QH0 QGn QGn QGn

Gambar 4.4 Register SIPO 8-Bit 74164 Dari lembaran data ditunjukkan bahwa nilai minimum dari frekuensi Clock maksimum fMAX adalah 10 MHz, sehingga IC tersebut tidak bisa bekerja pada frekuensi lebih besar dari 10 MHz. Untuk itu IC 74164 memerlukan waktu 1/(10 X 106) = 0,1 detik untuk keluaran, sedangkan untuk masukan adalah 8 X 0,1 detik = 0,8 detik. 3.3 Regsiter PISO (Paralel Input - Serial Output) Data masuk ke dalam Register secara paralel (serempak) dan ke luar Register secara serial (bit per bit). Proses penyimpanan data pada Register PISO adalah melalui 2 masukan asinkron yaitu Clear / Reset untuk data 0 dan Set untuk data 1 pada masing-masing FF, sehingga data tersebut akan muncul pada tiap-tiap keluaran Q-FF, dengan memberikan satu pulsa Clock, maka data bergeser dari keluaran QA sebagai data masukan FFB muncul pada keluaran QB (sedangkan data di keluaran QA menjadi 0), data dari keluaran QB sebagai data masukan FFC muncul pada keluaran QC, data dari keluaran QC sebagai data masukan FFD muncul pada keluaran QD yang digunakan sebagai keluaran data.

POLITEKNIK NEGERI MALANG

REGISTER

7

PRAKTIKUM TEKNIK DIGITALM asu kan D a ta 1

D

SET

Q

D

SET

Q

D

SET

Q

D

SET

Q

K e lu a r a n D a ta

C LR

Q

CLR

Q

CLR

Q

C LR

Q

C lo c k

M asu ka n D a ta 0

Gambar 3.5 PISO (Paralel Input - Serial Output) Pemberian pulsa Clock kedua menyebabkan data bergeser dari keluaran QA sebagai data masukan FFB muncul pada keluaran QB (data di keluaran QA menjadi 0), data dari keluaran QB sebagai data masukan FFC muncul pada keluaran QC (data di keluaran QB menjadi 0), data dari keluaran QC sebagai data masukan FFD muncul pada keluaran QD yang digunakan sebagai keluaran data. Pemberian pulsa Clock ketiga menyebabkan data bergeser dari keluaran QA sebagai data masukan FFB muncul pada keluaran QB (data di keluaran QA menjadi 0), data dari keluaran QB sebagai data masukan FFC muncul pada keluaran QC (data di keluaran QB menjadi 0), data dari keluaran QC sebagai data masukan FFD muncul pada keluaran QD yang digunakan sebagai keluaran data QC (data di keluaran QC menjadi 0). Pemberian pulsa Clock keempat menyebabkan data bergeser dari keluaran QA sebagai data masukan FFB muncul pada keluaran QB (data di keluaran QA menjadi 0), data dari keluaran QB sebagai data masukan FFC muncul pada keluaran QC (data di keluaran QB menjadi 0), data dari keluaran QC sebagai data masukan FFD muncul pada keluaran QD yang digunakan sebagai keluaran data, jadi memerlukan 4 pulsa Clock untuk mengeluarkan 4 bit data pada Register PISO, untuk lebih jelasnya mengetahui cara kerja dari Register PISO perhatikanlah tabel 4.3 dibawah ini.

POLITEKNIK NEGERI MALANG

REGISTER

8

PRAKTIKUM TEKNIK DIGITAL Tabel 4.3 Register PISO 4 Bit Clock 0 1 2 3 4 Masukan Data Set Clear Set Set 1 0 1 1 0 1 0 1 0 0 1 0 0 0 0 1 0 0 0 0 Keluaran Data 1 1 0 1 0

Contoh IC Register PISO adalah 74165 dengan 8 masukan / bit paralel dan masukan serial SER, sehingga data Register bisa diisikan paralel atau serial pada FF-D yang diatur melalui masukan shift/load; yaitu apabila tinggi (1), maka data diberikan pada masukan serial SER dan bit data digeser saat transisi naik dari Clock dengan syarat masukan CLK INH berlogika 0 (jika masukan CLK INH berlogika 1, maka tidak akan pernah terjadi adanya pulsa Clock), sedangkan apabila masukan shift/load berlogika rendah (0), maka data paralel diisikan pada Register melalui masukan A sampai H, yang tidak memerlukan pulsa Clock dan masukan SER.P A R A L E L IN P U T VCC

CLOCK IN H IB IT

D

C

B

A

S E R IA L IN P U T

O U T PU T Q H

16

15

14

13

12

11

10

9

74165

1S H IF T / LO AD

2CLOCK

3E

4F

5G

6H

7O UT PUT QH

8 G ND

P A R A L E L IN P U T

(a) Diagram Pin

POLITEKNIK NEGERI MALANG

REGISTER

9

PRAKTIKUM TEKNIK DIGITAL (b) Tabel Fungsi

InputShift/ Load LH H H HCLOCK C L O C K IN H IB IT SE R IA L IN P U T S H I F T /L O A D A B C D DATA E F G H O UTPUT Q O UTPUT Q H L H L H L H H H LIN H IB IT LOAD

Clock InhibitX L L L H

Clock X L

Serial XX H L X

ParalelA ... H a ... h

Output Internal QAa QAO

QBb

Output QHh

XX X X

Q

BO

Q

HO

HL QA0

Qan Qan QBO

QGn QGn QHO

L

H

H L

L H

H L

L HS E R IA L S H IF T

H L

L H

H L

L H

H

(c) Diagram Waktu Gambar 3.6 Register PISO 8-Bit 74165 3.4 Register PIPO (Paralel Input - Serial Output) Data masuk ke dalam dan keluar Register secara paralel (serempak). Proses penyimpanan data pada Register PIPO adalah melalui masukan data D pada masingmasing FF. Dengan satu pulsa Clock, maka data dari tiap-tiap masukan D-FF akan muncul pada masing-masing keluaran, sehingga untuk mengeluarkan 4 bit data pada Register PIPO hanya memerlukan 1 pulsa Clock. POLITEKNIK NEGERI MALANG REGISTER10

PRAKTIKUM TEKNIK DIGITAL

K e lu a r a n D a ta O O O O

0

1

2

3

D

SET

Q Q

D

SET

Q Q

D

SET

Q Q

D

SET

Q Q

C LR

C LR

C LR

C LR

C lo c k

I0

I1 M a su k a n D a ta

I2

I3

Gambar 3.7 Register PIPO (Paralel Input - Serial Output) Untuk lebih jelasnya dalam mengetahui cara kerja dari Register PISO perhatikanlah tabel 3.4 dibawah ini. Tabel 3.4 Register PIPO 4 Bit Clock 0 1 DA 1 1 Masukan Data DB DC DD 0 1 1 0 1 1 QA 0 1 Keluaran Data QB QC QD 0 0 0 0 1 1

Contoh IC Register PIPO 8 bit adalah 74195, yang mempunyai masukan shift/load seperti 74165 dengan masukan serial J dan K yang dihubungkan bersamasama (masukan K aktif rendah) untuk mengendalikan FF-RS A seperti ditunjukkan pada tabel 3.5 dibawah. POLITEKNIK NEGERI MALANG REGISTER11

PRAKTIKUM TEKNIK DIGITAL Tabel 3.5 Fungsi Masukan Serial J-K Register PIPO 4 Bit 74195 Masukan Serial J K 0 0 0 1 1 0 1 1V Q 15 Q 14 Q 13

Clock

Keluaran QA (shift/load =1) 0 (reset) QA (tetap) QA (toggle) 1 (set)Q 11 CLOCK 10 S H IFT / LOAD 9

O UT PUT Q 12

CC

A

B

C

D

D

16

74195

1 C LEAR

2 J

3 K

4 A

5 B

6 C

7 D

8 GND

S E R IA L IN PU T

P A R A L E L IN P U T

(a) Diagram Pin (b) Tabel Fungsi InputClear

OutputParalelA X a X X X X X B X b X X X X X C X c X X X X X D X d X X X X X K X X X H L H L

LH H H H H H

Shift/ Load X

Clock X

SerialJ X X X L L H H

QAL a QA0 QA0 L H QAn

QBL b QB0 QA0 QAn QAn QAn

QCL c QC0 QBn QBn QBn QBn

QDL d QD0 QCn QCn QCn QCn

LH H H H H

L

POLITEKNIK NEGERI MALANG

REGISTER

12

PRAKTIKUM TEKNIK DIGITAL (c) Diagram WaktuCLOCK CLEAR SER IA L DATA IN P U T S H I F T /L O A D A PARALEL DATA IN P U T B C D Q Q OUTPUT Q QA H L

J K

H L

B

C

D

S E R IA L S H IF T CLEAR LO AD

S E R IA L S H IF T

Gambar 3.8 Register PIPO 4 Bit 74195 Gate logika AND/OR/INVERT (AOI) pada masukan paralel digunakan untuk fungsi shift/load dan penerapan masukan serial J-K, sedangkan keluaran AOI ke masukan Set dan melalui Inverter ke masukan Reset FF. Gambar 4.8d menunjukkan diagram waktu 74195 dengan ilustrasi hapus (clear), geser (shft) dan urutan pengisian (load) data.

IV. Langkah Kerja : 4.1 Register SISO (Serial Input - Serial Output) / Geser (Shift) IC 7491 : 4.1.1 Ukurlah tegangan keluaran Catu Daya sebesar + 5 Volt, lalu matikan. 4.1.2 Hubungkan keluaran A0, A1, A2 dan GS serta EO pada Modul 19A dengan Modul LED, lalu hubungkan kedua Modul dengan Catu Daya. POLITEKNIK NEGERI MALANG REGISTER13

PRAKTIKUM TEKNIK DIGITAL 4.1.3 Berikan masukan EI dan masukan 0, 1, 2, 3, 4, 5, 6, 7 seperti tabel 2.2. 4.1.4 Nyalakan Catu Daya, perhatikan perubahan tampilan pada Modul LED dan catatlah dalam tabel data hasil. 4.1.5 Berikan masukan lainnya, amati Modul LED dan catatlah dalam tabel. 4.1.6 Matikan Catu Daya dan buatlah kesimpulan dari pengamatan anda !

4.2 Register SIPO (Serial Input - Paralel Output) IC 74164 : 4.2.1 Hubungkan keluaran A, B, C, D pada Modul 19B dengan Modul LED, lalu hubungkan kedua Modul dengan Catu Daya. 4.2.2 Hubungkan masukan 1, 2, 3, 4, 5, 6, 7, 8, 9 Modul 19B ke Modul Saklar, sedangkan masukan 0 biarkan terbuka. 4.2.3 Nyalakan Catu Daya, tekanlah saklar 1 dan perhatikan perubahan tampilan pada Modul LED dan catatlah. 4.2.4 Tekanlah untuk saklar-saklar yang lain, perhatikan perubahan tampilan pada Modul LED dan catatlah pada tabel. 4.2.5 Matikan Catu Daya dan buatlah kesimpulan dari pengamatan anda !

4.3 Regsiter PISO (Paralel Input - Serial Output) IC 74164 : 4.3.1 Ukurlah tegangan keluaran Catu Daya sebesar + 5 Volt, lalu matikan. 4.3.2 Hubungkan keluaran A0, A1, A2 dan GS serta EO pada Modul 19A dengan Modul LED, lalu hubungkan kedua Modul dengan Catu Daya. 4.3.3 Berikan masukan EI dan masukan 0, 1, 2, 3, 4, 5, 6, 7 seperti tabel 2.2. 4.3.4 Nyalakan Catu Daya, perhatikan perubahan tampilan pada Modul LED dan catatlah dalam tabel data hasil. 4.3.5 Berikan masukan lainnya, amati Modul LED dan catatlah dalam tabel. 4.3.6 Matikan Catu Daya dan buatlah kesimpulan dari pengamatan anda !

POLITEKNIK NEGERI MALANG

REGISTER

14

PRAKTIKUM TEKNIK DIGITAL 4.4 Register PIPO (Paralel Input - Serial Output) IC 74164 : 4.4.1 Ukurlah tegangan keluaran Catu Daya sebesar + 5 Volt, lalu matikan. 4.4.2 Hubungkan keluaran A0, A1, A2 dan GS serta EO pada Modul 19A dengan Modul LED, lalu hubungkan kedua Modul dengan Catu Daya. 4.4.3 Berikan masukan EI dan masukan 0, 1, 2, 3, 4, 5, 6, 7 seperti tabel 2.2. 4.4.4 Nyalakan Catu Daya, perhatikan perubahan tampilan pada Modul LED dan catatlah dalam tabel data hasil. 4.4.5 Berikan masukan lainnya, amati Modul LED dan catatlah dalam tabel. 4.4.6 Matikan Catu Daya dan buatlah kesimpulan dari pengamatan anda ! V. Pertanyaan : 5.1 Register SISO 8-bit menyimpan bilangan biner dalam desimal 154 (bit LSB berada pada FF paling kanan). Register diisi lagi data 167 dengan menggeser bit ke kiri. Susunlah tabel yang menunjukkan isi Register dalam bentuk bilangan biner setelah 8 pulsa Clock berturut-turut ! 5.2 Susunlah diagram waktu pada Register SISO 4-bit yang menggambarkan keadaan keluaran tiap FF, apabila nasukan serialnya seperti gambar dibawah (anggap isi awal Register adalah 0000) ! Berapakah isi Register setelah pulsa Clock ke-empat ?C lo c k M a s u k a n s e r ia l D

A

5.3 Data serial diisikan ke IC Register 74164 untuk ditransmisikan dalam bentuk paralel ke memory komputer yang mempunyai kapasitas 216 8 bit. Setelah tiap 8 bit digeser, pulsa Clock berikutnya digunakan untu mentransfer data dalam bentuk paralel ke memory, dan mengisi data serial baru ke Register untuk pulsa Clock berurutan. Jika Register diberi Clock 2 MHz, berapakah lamanya isi memory tersebut penuh ?

POLITEKNIK NEGERI MALANG

REGISTER

15

PRAKTIKUM TEKNIK DIGITAL 5.4 Rangkaian Pencacah digital 8-bit digunakan untuk menghitung jumlah kendaraan yang melewati perempatan dalam bentuk serial ke kontroller trafik dibawah sinkronisasi sinyal Clock. Rancanglah rangkaian yang menggunakan IC 74165 untuk melakukan fungsi tersebut ! 5.5 Bilangan biner 4-bit diisikan dalam bentuk paralel ke IC Regsiter 74195. Apabil keluarannya sama dengan 1111 maka akan dirubah menjadi 0111 pada sisi naik (leading) pulsa Clock berikutnya. Rancanglah rangkaiannya ! 5.6 IC Regsister 74194 digunakan untuk melakukan urutan kejadian sebagai berikut : a. Mengisi data 4-bit (A1B1C1D1) dalam bentuk paralel (A1 adalah LSB) b. Mengkonversi data paralel tersebut ke serial c. Tunda untuk 2 perioda Clock d. Isilah data baru 4-bit (A1B1C1D1) dalam bentuk paralel (D2 adalah LSB) e. Mengkonversi data paralel tersebut ke serial, dengan LSB terbih dahulu Anggap operasi tiap pengisian paralel memerlukan satu perioda pulsa Clock Susunlah diagram waktu yang menunjukkan bentuk gelombang Clock dan S0S1 yang harus dibangkitkan untuk mengendalikan Register. Tunjukkan pulsa Clock selama setiap 5 kali operasi yang dilakukan ! 5.7 Gambar dibawah menunjukkan sistem untuk mendeteksi gangguan (noise) pada dua lintasan transmisi data, yaitu transmisi data serial melalui satelit dan melalui saluran telepon ke stasiun penerima secara serempak. Apabila keluaran Gate Ex-Nor menjadi rendah (0), maka tidak ada perbedaan diantara bit-bit yang ditransmisikan oleh kedua lintasan dan dianggap noise dapat diabaikan pada kedua lintasan. Data serial dibangkitkan pada kecepatan 64 X 103 bit/detik dan disinkronisasikan oleh sinyal Clock 64 KHz pada penerima. Waktu transmisi melalui satelit adalah 0,272 detik dan melalui saluran telepon adalah 0,256 detik. Rancanglah rangkaian tunda yang menjamin bit-bit yang datang pada Gate waktunya sama dan gambarkanlah blok diagramnya !

POLITEKNIK NEGERI MALANG

REGISTER

16

PRAKTIKUM TEKNIK DIGITALS a t e l it

P e n e r im a S a lu r a n T e le p o n Pem ancar

D e la y

1 = O K 0 = n o is e

5.8 Register 32-bit dengan keluaran paralel digunakan untuk menunda data serial 7,2 detik. a. Pada frekuensi berapakah akan diberi Clock jika keluaran yang ditunda dari tingkat ke 18 ? b. Dengan menggunakan frekuensi Clock soal a diatas, pada tingkat berapakah keluaran terjadi jika penundaan total adalah 12,4 detik ? 5.9 Pencacah lingkaran awalnya diisi data QAQBQCQD = 1011. Susunlah diagram waktu yang menunjukkan keluaran tiap tingkat untuk 8 pulsa Clock ? Berapakah frekuensi tiap keluaran ? Jika isi awal Pencacah lingkaran self starting adalah QAQBQCQD = 1100, berapakah banyaknya pulsa Clock yang diperlukan sebelum isinya 1000 ?

POLITEKNIK NEGERI MALANG

REGISTER

17