universiti putra malaysia - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem...

45
UNIVERSITI PUTRA MALAYSIA ON-CHIP COMMUNICATION SYSTEM MODELING APPROACH FOR RELIABILITY ANALYSIS FOCUSING ON FUNCTIONAL FAILURES ARASH ABTAHI FOROOSHANI FK 2012 95

Upload: doanngoc

Post on 06-Mar-2019

220 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

UNIVERSITI PUTRA MALAYSIA

ON-CHIP COMMUNICATION SYSTEM MODELING APPROACH FOR RELIABILITY ANALYSIS FOCUSING ON FUNCTIONAL FAILURES

ARASH ABTAHI FOROOSHANI

FK 2012 95

Page 2: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

ON-CHIP COMMUNICATION SYSTEM MODELING APPROACH FOR

RELIABILITY ANALYSIS FOCUSING ON FUNCTIONAL FAILURES

By

ARASH ABTAHI FOROOSHANI

Thesis Submitted to the School of Graduate Studies, Universiti Putra Malaysia, in

Fulfilment of the Requirement for the Degree of Master of Science

July 2012

Page 3: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

ii

DEDICATION

To my loving mother

Sedigheh Behroozfar

And

My best friend in life,

Sama

Page 4: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

iii

ABSTRACT

Abstract of thesis presented to the Senate of Universiti Putra Malaysia in fulfilment of

the requirement for the degree of Master of Science

ON-CHIP COMMUNICATION SYSTEM MODELING APPROACH FOR

RELIABILITY ANALYSIS FOCUSING ON FUNCTIONAL FAILURES

By

ARASH ABTAHI FOROOSHANI

July 2012

Chairman: Fakhrul Zaman Bin Rokhani, PhD

Faculty: Engineering

The advances in the process technology have shrunk the feature size which has paved

the road to higher orders of integration in the recent years. Year by year, the number of

components integrated into a single chip is growing. Resulted in larger number of

interconnects, the communication between these components is increasingly taking over

critical system paths and frequently becomes the basis for performance holdup.

Variations of communication and circuit-level techniques are proposed in the literature

to facilitate the communication between the on-chip components. While improving

communication reliability, power consumption and communication delay are the main

concerns of such techniques, most of them are evaluated under unrealistic assumptions

about the on-chip communication system. Therefore, the lack of a comprehensive

approach for modeling on-chip communication systems is highlighted as the motivation

Page 5: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

iv

behind this research. Based on that, a fast and accurate modeling approach inclusive of

the impacts of significant contributors to the deep sub-micron noise as well as the

dynamic behavior of the receivers is proposed.

This research also investigates the tradeoff between accuracy and computational cost in

crosstalk modeling as a part of the modeling approach which has critical impact on the

total simulation precision and computational cost. Two algorithms are proposed to

control the crosstalk simulation error while minimizing the required computational

cost.An adaptive modeling window sizing method, along with an upper bound on the

sampling error were applied to guarantee a high order of precision in simulating the

crosstalk noise for an RLC interconnect model. The algorithms were verified and the

resultsshow that minimum accuracy of 96% is maintained by applying the proposed

crosstalk modeling approach while the number of required simulations is reduced by at

least factor of 59% for modeling window sizes bigger than 3.

Finally, the significance of using a practical on-chip communication system model is

demonstrated through applying the proposed modelling approach to study the impacts of

different communication approaches and circuit-level modifications on the reliability

performance focussing on functional failures. Using 4-PAM modulation as the signaling

scheme together with three variations of Hamming block codes, the proposed on/off-

Page 6: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

v

chip communicationsystem model is compared to AWGN model in terms of bit error

ratio. The results confirm that application of simplistic on-chip communication system

models like AWGN or primitive crosstalk models leads to inaccurate evaluation of

communication techniques while the proposed method is verified to offer a more

realistic platform.

Page 7: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

vi

ABSTRAK

Abstrak tesis yang dikemukakan kepada Senat Universiti Putra Malaysia sebagai

memenuhi keperluan untuk ijazah Master Sains

PENDEKATAN PEMODELAN SISTEM KOMUNIKASI DALAM CIP UNTUK

ANALISIS KEBOLEHPERCAYAAN DENGAN TUMPUAN KEPADA

KEGAGALAN FUNGSI

Oleh

ARASH ABTAHI FOROOSHANI

July 2012

Pengerusi: Fakhrul Zaman Bin Rokhani, PhD

Fakulti: Kejuruteraan

Kemajuan dalam teknologiproses telah mengecilkan saiz ciri yang telah membuka jalan

kepada integrasi di peringkat yang lebih tinggi sejak kebelakangan ini. Tahun demi

tahun, bilangan komponen yang disepadukan dalam satu cip semakin meningkat.

Keadaan ini menyebabkan bilangan antara sambung yang lebih besar dan komunikasi

antara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas

kepada kelambatan prestasi. Variasi teknik pada peringkat komunikasi dan litar telah

dicadangkan untuk memudahkan komunikasi di antara komponen atas cip. Dalam

meningkatkan kebolehpercayaan komunikasi, penggunaan kuasa dan sela masa

komunikasi adalah kebimbangan utama kepada teknik-teknik tersebut dan

kebanyakannya dinilai berdasarkan andaian yang tidak realistik terhadap sistem

Page 8: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

vii

komunikasi atas cip. Oleh itu, pendekatan yang kurang menyeluruh terhadap pemodelan

sistem komunikasi atas cip diketengahkan sebagai motivasi kepada kajian ini.

Berdasarkan ini, pendekatan pemodelan yang cepat dan tepat disertai dengan impak

penyumbang penting kepada hingar sub-mikron dan juga sifat dinamik penerima

dicadangkan.

Kajian ini juga menyiasat hubungan antara kejituan dengan kos pengiraan pemodelan

hingar bersilang sebagai salah satu pendekatan pemodelan yang mempunyai kesan

kritikal ke atas kepersisan simulasi dan kos pengiraan. Dua algoritma dicadangkan bagi

mengawal ralat simulasi hingar bersilang dan dalam masa yang sama mengurangkan kos

pengiraan yang diperlukan. Satu teknik permodelan saiz tingkap ubah suai, bersama

dengan batas atas kepada ralat persampelan telah digunakan untuk menjamin kepersisan

yang tinggi di dalam simulasi hingar bersilang untuk model antara sambung RLC.

Algoritma tersebut telah disahkan dan keputusan menunjukkan kejituan minima

sebanyak 96% dikekalkan dengan menggunakan pendekatan yang dicadangkan dan

dalam masa yang sama bilangan simulasi yang diperlukan dapat dikurangkan kepada

sekurang-kurangnya 59% bagi model saiz tingkap lebih besar daripada 3.

Akhirnya, kepentingan menggunakan model sistem komunikasi atas cip yang praktikal

telah ditunjukkan melalui penggunaan pendekatan yang dicadangkan dalam mengkaji

impak pendekatan komunikasi yang berbeza dan pengubahsuaian di peringkat litar ke

atas prestasi kebolehbergantungan dengan tumpuan kepada kegagalan fungsi. Dengan

menggunakan modulasi 4-PAM sebagai skim isyarat, bersama dengan tiga variasi kod

Page 9: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

viii

blok Hamming, model sistem komunikasi dalam/luar cip yang dicadangkan telah

dibandingkan dengan model AWGN dari segi nisbah ralat bit. Keputusan mengesahkan

yang penggunaan model sistem komunikasi adalam cip yang mudah seperti model

AWNG atau modelhingar bersilang primitif membawa kepada ketidaktepatan penilaian

ke atas teknik komunikasi sementara kaedah yang dicadangkan telah dibuktikan dapat

menawarkan platfom yang lebih realistik.

Page 10: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

ix

ACKNOWLEDGEMENTS

First and foremost, my praise to Allah Jalla Jalaloh who blessed me with patience,

courage, consistency and good health during this study. I am also heartily thankful to my

supervisor, Dr. Fakhrul Zaman Rokhani, whose encouragement, guidance and support

from the initial to the final level enabled me to develop an understanding of the subject.

My gratitude goes to the member of supervisory committee, Dr.Khairulmizam

Samsudin, for his kind support and guidance. Moreover, I am thankful to Dr. Iqbal

Sapiran form department of computer and communication system engineering who

generously supported my study by offering me the resources provided in the multimedia

laboratory.

It is needed to also express the heartfelt thanks to my mother who supported me with her

patience, care and encouragement during the study. I would also like to express my

gratefulness to my friends for giving me a good time here. Lastly, I offer my regards and

blessings to all of those who supported me in any respect during the completion of the

project.

Page 11: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

x

APPROVAL

I certify that a Thesis Examination Committee has met on (July 2012) to conduct the

final examination of Arash Abtahi Forooshani on his thesis entitled “On-chip

Communication System Modeling Approach for Reliability Analysis Focusing on

Functional Failures” in accordance with the Universities and University Colleges Act

1971 and the Constitution of the Universiti Putra Malaysia [P.U.(A) 106] 15 March

1998. The Committee recommends that the student be awarded the Master of Science.

Members of the Thesis Examination Committee were as follows:

Syed Abdul Rahman Al-Haddad b. Syed Mohamed, PhD

Associate Professor

Faculty of Engineering

Universiti Putra Malaysia

(Chairman)

Makhfudzah Binti Mokhtar, PhD

Senior Lecturer

Faculty of Engineering

Universiti Putra Malaysia

(Internal Examiner)

Nasri Bin Sulaiman, PhD

Senior Lecturer

Faculty of Engineering

Universiti Putra Malaysia

(Internal Examiner)

Masuri Bin Othman, PhD

Professor

Faculty of Engineering and Built Environment

Universiti Kebangsaan Malaysia

(External Examiner)

SEOW HENG FONG, PhD

Professor and Deputy Dean

School of Graduate Studies

Universiti Putra Malaysia

Date: -----------------------

Page 12: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xi

This thesis was submitted to the Senate of Universiti Putra Malaysia and has been

accepted as fulfillment of the requirement for the degree of Master of Science. The

members of the Supervisory Committee were as follows:

Fakhrul Zaman Bin Rokhani, PhD

Senior lecturer

Engineering

University Putra Malaysia

(Chairman)

Khairulmizam Bin Samsudin, PhD

Senior lecturer

Engineering

University Putra Malaysia

(Member)

BUJANG BIN KIM HUAT, PhD

Professor and Dean

School of Graduate Studies

Universiti Putra Malaysia

Date:

Page 13: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xii

DECLARATION

I declare that the thesis is my original work except for quotations and citations which

have been duly acknowledged. I also declare that it has not been previously, and is not

concurrently, submitted for any other degree at Universiti Putra Malaysia or at any other

institutions.

ARASH ABTAHI FOROOSHANI

Date: 31July 2012

Page 14: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xiii

TABLE OF CONTENTS

DEDICATION Page ABSTRACT iii

ABSTRAK vi ACKNOWLEDGEMENTS ix

APPROVAL x DECLARATION xii

LIST OF TABLES xvi LIST OF FIGURES xviii

LIST OF APPENDICES xxi LIST OF ABBREVIATIONS xxii

CHAPTER

1 INTRODUCTION 1

1.1 Preface 1

1.2 Motivation and Problem Statement 3

1.3 Aim and Objectives 6

1.4 Scope of the Work 10

1.5 Contributions of the Thesis 11

2 BACKGROUND AND BASIS 13

2.1 Introduction 13

2.2 Types of Noise in On-chip Communication 14

2.3 Effects of Noise 15

2.4 Noise Analysis Methods 16

2.5 Dynamic Noise Margins (DNM) 17

2.6 On-chip Communication System Models 24

2.7 On-chip Communication Techniques 28

2.8 Summary 30

3 METHODOLOGY 32

3.1 Introduction 32

3.2 The Proposed On-Chip Communication System Modeling Approach 32

Page 15: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xiv

3.3 Crosstalk Modeling 40

3.3.1 Configurations and Notations 40

3.3.2 Crosstalk Modeling Problem 44

3.3.3 Impacts of Modeling Window Size on Modeling Accuracy and

Simulation Time 46

3.3.4 Constructing the Noise on a Victim Wire Using Superposition

Principle 48

3.4 AWGN Model 50

3.4.1 Signal to Noise Ratio 51

3.4.2 Probability of Error in 4-PAM Signaling Scheme 54

3.5 Receiver Modeling: Dynamic Noise Margins 58

3.6 Simulation Scenarios and Communication Techniques for BER

Analysis 60

4 IMPLEMENTATION, VERIFICATION AND ASSESMENT 65

4.1 Implementations 65

4.1.1 Circuit Level Designs 65

4.1.2 On-chip Communication System Simulator 75

4.2 Verification 77

4.2.1 Crosstalk Modeling: Modeling Window Size and Accuracy 77

4.2.2 Crosstalk Modeling: Sampling Effects on Accuracy 93

4.2.3 Crosstalk Modeling: Total Error 99

4.2.4 Gaussian Noise Generation and SNR 100

4.2.5 Dynamic Noise Margin for 4-PAM Signaling 101

4.3 Assessment 115

4.3.1 Power and Area Assessment 116

4.3.2 Reliability Analysis: AWGN Model 122

4.3.3 Reliability Analysis: Proposed Interconnect Noise Modeling

with SNM and DNM 126

4.3.4 Simulation Speed 131

4.4 Discussion 133

4.4.1 Verification 133

Page 16: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xv

4.4.2 Assessment 137

5 CONCLUSIONS AND RECOMMENDATIONS FOR FUTURE WORKS

139

5.1 Conclusions 139

5.2 Recommendations for Future Research 142

REFERENCES 144

APPENDICES 153

BIODATA OF STUDENT 159

LIST OF PUBLICATIONS 160

Page 17: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xvi

LIST OF TABLES

Table Page

‎3.1: Gray Mapping between 4-PAM Symbols and Binary Pairs 56

‎4.1: The Transistor and Logic States in the 4-PAM Decoder Circuit at Different Input

Voltage Levels 73

‎4.2: The Transistor and Logic States in the 4-PAM Encoder Circuit at Different Input

Logic Levels 73

‎4.3: Wiring Parameters and Calculated Circuit Elements for the Distributed RLC Model

78

‎4.4: Number of Wires versus Simulation Time 80

‎4.5: Modeling Error Statistics for Model Sizes M = 3,5,7 85

‎4.6: Modeling Error Statistics for Adaptive Model Sizes 91

‎4.7: Comparison Between Number of Transition Patterns to be Simulated with Fixed

and Adaptive Modeling Window Sizes 92

‎4.8: DC Noise Margin of the Following Inverter 104

‎4.9: DC Noise Margin for the XOR Gate 106

‎4.10: LSB Expected Values and the Related DC NMfs 107

‎4.11: Comparison between SPICE, Proposed DNM and SNM 114

‎4.12: Synthesis Results of the FEC Encoder/ Decoder Circuits 116

‎4.13: Power consumption of 4-PAM Encoder/ Decoder circuits 117

‎4.14: Wire Parameters 119

‎4.15: Wiring Configurations for Different Coding Schemes 119

‎4.16: Comparison between Simulation Times in SPICE and the Developed Simulator

132

Page 18: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xvii

‎4.17: Total Time Elapsed to Generate the Waveform Database for each Modeling

Window Size (M) 132

‎4.18: Average Peak Error in Crosstalk Modeling for Different Techniques 134

Page 19: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xviii

LIST OF FIGURES

Figure‎ Page

‎1.1: Processor Speed Trend [6] 2

‎1.2: Intel 80-core (left) [7] and 48-core [8] Research Chips (right) 2

‎1.3: On-chip Communication Studies 4

‎1.4: Deep Sub-micron Noise Sources [12] 4

‎1.5: The Necessary Characteristics of a Comprehensive On-chip Communication

System Model 8

‎2.1: Crosstalk Trapezoidal Modeling 19

‎2.2: Maximum Square between Low-high-low and High-low-high VTCs [45] 20

‎2.3: Equivalent Circuit Used by [38] to Calculate the Crosstalk Noise at the Victim

Input Node. 21

‎2.4: Dynamic Noise Model with RLC Used by [38] 22

‎2.5: The Channel Model Proposed in [27] 26

‎3.1: Flowchart of the Proposed On-Chip Communication System Modeling Approach 35

‎3.2: n-Segment Distributed RLC Model for Modeling W-Wires in the Circuit Simulator

39

‎3.3: Cross-Section of Parallel Wires in A W-Wire Interconnect and an M-Wire Reduced

Model 41

‎3.4: The Voltage Levels in a General 2lLevels Signaling Scheme 42

‎3.5: The Received Symbols PDFs at Receiver for the Binary Scheme 55

‎3.6: The Received Symbols PDFs at Receiver for the 4-PAM Scheme 56

‎3.7: Block Diagram of the Receiver Circuit Identifying the Victims and Their Following

Gates 60

Page 20: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xix

‎3.8: Simulation Configurations for (a) Binary, (b) Regular 4-PAM, (c) 4-PAM with FEC

Schemes 61

‎4.1: 4-PAM Decoder Circuit 68

‎4.2: Transient Simulation Results of the 4-PAM Decoder Circuit 70

‎4.3: 4-PAM Encoder Circuit Adopted from [67] 71

‎4.4: Transient Simulation Results of the 4-PAM Encoder Circuit 72

‎4.5: The Layout of the 4-PAM FEC Decoder Generated By Cadence Encounter Tool. 74

‎4.6: Snapshot of the C#.NET Software Interface 75

‎4.7: The Crosstalk Noise Generated By the Software on a 2.5 mm Wire for 500

Transitions with Transition Time Equal to 100 ps 76

‎4.8: The Effect of Non-adjacent Wires with Different Transition Patterns on a Victim

Wire 79

‎4.9:The Noise Induced by the Aggressors in the Modeling Window is: (a) 33…32-303-

23…33, (b) 33…32-302-23…33 87

‎4.10 Adaptive Modeling Window Size 90

‎4.11: A Crosstalk Noise Waveform and its Sampled Versions at Three Different

Frequencies 95

‎4.12: Reconstructed Waveforms at Different Sampling Frequency 95

‎4.13: Frequency Spectrum of the Crosstalk Noise Waveform 96

‎4.14: The Algorithm to Find the Sampling Frequency for a Set of Noise Waveforms

Captured by SPICE for Crosstalk Simulation 98

‎4.15: AWGN Generation Evaluation Graph 101

‎4.16: VTC Curves for the Three Invertors at the Victim Stage 103

‎4.17: VTC Curve of the Inverter Used As a Following Gate in MSB Path 104

‎4.18: VTCs of the XOR Gate by Sweeping VA and VB 105

‎4.19:Crosstalk noise at the input using trapezoidal modeling 111

Page 21: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xx

‎4.20: Output of the following inverter at the MSB path 112

‎4.21: Output of the XOR gate at the LSB path 112

‎4.22: Peak of The Propagated Noise at The Output of The XOR Gate Versus the DC

Voltage at The Input Capacitance of the Following Gate (Vc) 113

‎4.23: (a) The Fixed Wire Width and Spacing Setup and (b) the Fixed Bus Width Setup

with Equal w and s 120

‎4.24: The Power Saving (%) for (a) the Fixed Wire and (b) Fixed Bus Width

Configurations for All Architectures 121

‎4.25: Area Consumed by the 4-PAM Schemes against Binary Scheme in Percentage 122

‎4.26: Reliability Performance of the 4-PAM Communication Techniques on AWGN

Communication System Model with SNM (BER vs. SNR) 124

‎4.27: Reliability Performance of the 4-PAM Communication Techniques on AWGN

Communication System Model with SNM (BER vs. Noise Variance) 124

‎4.28: Comparing the Reliability Performance of the 4-PAM Communication Techniques

on Three Different On-chip Communication System Models (BER vs. SNR) 127

‎4.29: Impact of Wire Length on Reliability (Transition Time: 100ps) 129

‎4.30: Impact of Transition Time on Reliability (Wire Length: 1.5mm) 129

‎4.31: The Impact of Interconnect Width and Spacing on Reliability 130

Page 22: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xxi

LIST OF APPENDICES

Appendix Page

A: Sample Perl Script 153

Page 23: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xxii

LIST OF ABBREVIATIONS

BER Bit Error Ratio

AC Alternative Current

AWGN Additive White Gaussian Noise

BER Bit Error Ratio

C Interconnect Wire Self Capacitance

Cc Interconnect Wire Coupling Capacitance

CPU Central Processor Unit

DC Direct Current

DNM Dynamic Noise Margin

DSM Deep Sub-micron

ECC Error Control Coding

FEC Forward Error Correction

FFT Fast Fourier Transform

GB Giga Bytes

HDL Hardware Description Language

ISI Inter-symbol Interference

L Interconnect Wire Self Inductance

LSB Least Significant Bit

M Interconnect Wires Mutual Inductance

MSB Most Significant Bit

NM Noise Margin

PDF Probability Distribution Function

PWL Piece Wise Linear

Page 24: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

xxiii

R Interconnect Wire Resistance

RAM Random Access Memory

SNM Static Noise Margin

XOR Exclusive OR

Page 25: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

CHAPTER 1

1 INTRODUCTION

1.1 Preface

Year by year the human being expects more functionality and speed from the digital

devices he uses every day. It was not so long ago that the answer to these demands was

simply more number of transistors on a single chip with higher clock frequencies. The

industry has been able to shrink the feature size and integrate as many transistors as

Moore predicted [1]. The smaller transistors also enabled the predicted space for the

frequency increase; however, the constraints on power consumption [2] and the raise in

thermodynamic impacts [3] put an end to this trend. The new solution to boost the

number of instructions performed per second was to divide the work already done by

one processor core and assign it to more number of processors (Figure 1.1).

In the past few years, prototypes of multi-processor units with 48 and 80 cores have

been produced by Intel® (Figure 1.2) revealing the challenges in the single chip multi-

core design [4]. In the first quarter of 2011, Nvidia® the technology company best

known for its graphics processors also introduced Tegra™ 3, a commercial mobile

multi-processor series built based on 40nm technology including 12 task specific

processors. The number of processor cores on Tegra™ 3 was increased by 4 comparing

to its predecessor Tegra™ 2 which was released almost a year before [5].

Page 26: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

2

Figure 1.1: Processor Speed Trend [6]

Figure 1.2: Intel 80-core (left) [7] and 48-core [8]Research Chips (right)

Page 27: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

3

On account of enhancements in process technology, number of components being

integrated into a single System-on-Chip is progressively growing. Resulted in larger

number of interconnects, the communication between these components is increasingly

taking over critical system paths and frequently becomes the basis for performance

holdup [9]. In fact, similar to other communication scenarios, the key concerns here are

higher data rates, lower energy consumption and further reliability against noise.

However, as the feature size and the supply voltages shrink, the signal integrity is

getting more and more threatened by the deep submicron (DSM) noise sources on the

on-chip interconnects [10]. Moreover, the power consumption of on-chip interconnects

can reach up to 50% of the total chip power consumption in new multi-core designs

[11].

1.2 Motivation and Problem Statement

Generally speaking, the on-chip communication studies can be branched into two

prominent areas (Figure 1.3). First one is concentrated on the communication system

modeling and improvement, while the second one concentrated on the communication

techniques in charge of improving reliability, speed and or power consumption. The

developed models in the first category are supposed to serve the purpose of evaluating

the performance of the communication techniques in early stages of design.

Page 28: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

4

Figure 1.3: On-chip Communication Studies

From the communication point of view, the signals of on-chip interconnect flow from

the drivers, through the channel (interconnect wires) to the receivers. Different DSM

noise sources have been identified affecting each of these components of the

interconnect communication system [12]. These noise sources can result in functional as

well as timing failures. Figure 1.4 lists the DSM noise sources.

Figure 1.4: Deep Sub-micron Noise Sources[12]

On-chip Communiation

Studies

Communication Systems Models

Communication Techniques

Reliability Speed

Power

Capacitive Crosstalk

Inductive Crosstalk

Power Supply Noise

Process Variations

Inter-symbol Interference

Thermal, Shot, Flicker (1/f), ..

Electromagnetic Interference

Alpha Particles

Page 29: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

5

To increase the reliability of the communication system, two approaches have been

identified [12]. The first approach is through the noise budgeting approach which

applies the worst case analysis leading to increase of the noise margin in order to

mitigate the noise. This approach results in high signal-to-noise ratio (SNR) at the

expense of high power dissipation [13]. The analysis is rather a pessimistic analysis, to

consider all noise sources to happen simultaneously at the worst possible extreme value

which is misleading in real design.

The other approach is the fault-tolerant communication strategy which consists of

design techniques that are inherently tolerant to noise and errors. Well-known

subcategories under this topic are dynamic noise analysis, bus encoding, and channel

coding [10]. These methods have shown great success versus the noise-budgeting

approaches in terms of optimality in speed and power [14–16]. However, such

communication techniques were largely evaluated through simplistic low-precision

channel models which could not show the actual capacity of the techniques.

In order to evaluate the fault-tolerant communication techniques, the very fundamental

requirement is a comprehensive model of the communication system which consists of

the drivers, receivers and interconnects. Hence, the development of a communication

system modeling approach that accounts for the impacts of significant noise sources as

Page 30: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

6

well as the dynamic behaviour of the receiver gates on signal integrity is the most

important motivation of this thesis. This research proposes an on-chipcommunication

system modeling approach based on superposition principle and sampling theorem that

not only is fast enough to evaluate on-chip communication techniques but is also

accurate and relatively comprehensive.

1.3 Aim and Objectives

The significance of on-chip communication as well as the demand for practical

communication system modeling approaches was highlighted in the past two sections.

The main aim of this research is to propose an on-chip communication system modeling

approach with focus on functional failures on silent wires which is suitable for

evaluating the reliability performance of the on-chip communication techniques. Silent

wires are those wires in a bus which their logical state does not alter between two clock

periods. These wires are prone to unintended change in their state due to the noise

induced by the neighboring transitioning wires also known as aggressor wires.

Normally, enormous number of transitions is needed for evaluating the on-chip

communication techniques since the techniques are usually designed to minimize the bit

error ratio (BER) so that the communication last longer free of errors. Therefore, the

objective in this research is to develop a modeling approach which is substantially faster

in simulation and imposes less computational costs comparing to circuit-level

simulators. Besides, the simulation speed in such an approach should not jeopardize the

Page 31: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

7

accuracy and the model must be accurate enough to provide reliable performance results

at the early stages of design. Furthermore, the approach should include the impacts of

interconnect wires and the behavior of receivers and be capable of modeling the

significant contributors to noise. Note that investigating the impacts of drivers on signal

integrity is excluded in this research and a commonly applied method is used to model

them. This will be further explained in the third chapter. Furthermore, the dynamic noise

analysis at the receiver is expanded from binary to four-level logic.This can open the

door to developing such analysis for signaling schemes with higher number of levels.

Figure 1.5 illustrates the necessary characteristics of a comprehensive on-chip

communication system model.

Page 32: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

8

Figure 1.5: The Necessary Characteristics of a Comprehensive On-chip

Communication System Model

Including capacitive and inductive crosstalk in the model is a critical task which

significantly affects the simulation precision and computational cost. Thus, investigating

the tradeoff between accuracy and computational cost in crosstalk modeling is another

objective of this research.

a comprehensive

on-chip communication system model:

consists of the drivers,

interconnect wires and the

receivers

is faster in simulation and

imposes less computational

costs comparing to circuit-level

simulators

is capable of modeling the

significant contributors to

noise

is accurate enough to

provide reliable performance

results at early stages of design

Page 33: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

9

The last but not the least, examining the reliability performance of different

communication and circuit-level techniques using the proposed modeling methodology

is an important goal in this research which allows emphasizing on the significance of

using a more realistic on-chip communication system model.

In summary, the objectives in this study are as follows:

1. To propose an on-chip communication system modeling approach with focus on

functional failures on silent wires which is computationally fast with high

accuracy, suitable for evaluating the reliability performance of the on-chip

communication techniques.

2. To investigate the tradeoffs between accuracy and computational cost in

crosstalk modelling.

3. To examine the reliability performance of different communication and circuit-

level techniques using the proposed modelling methodology.

Page 34: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

10

1.4 Scope of the Work

The proposed on-chip communication modeling approach is focused on simulating the

transient behaviour of on-chip metallic interconnects. The accuracy of the modelling

approach must be verified against Mentor Graphics Eldo Classic software [17] which is

a SPICE accurate circuit simulator. Henceforward, this software is referred to with the

term SPICE in interest of readability.

BER is chosen as the criterion for the reliability analysis where the concentration is on

functional errors happening on silent wires. Since the on-chip interconnect signal

integrity covers a wide scope,the timing errors occurring on transitioning wires are not

included in the analysis provided in this researchand the focus is on silent wires. The

proposed modeling approach also includes the impacts of the DSM noise on parallel on-

chip interconnects and simulates the dynamic behaviour of the receiver circuit on signal

integrity.

Also, the selected communication techniques are chosen based on their attributes that

help investigating the importance of accurate and fast on-chip communication system

modelling. Thus the selection does not necessary represent the best low-power or fault-

tolerant communication techniques though their performance is compared with current

methods.

Page 35: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

11

Finally, completely random binary data with uniform probability function is generated

and used for the reliability and power consumption analysis presented in this work.

1.5 Contributions of the Thesis

In this thesis, the on-chip communication system modeling problem is addressed by

proposing an accurate, fast and relatively comprehensive modeling approach. The

proposed modeling approach includes the impacts of the channel and the receiver on the

signal integrity where its focus is on the functional failures on silent wires.

Moreover, the tradeoffs between accuracy in crosstalk modeling and computational

costs are studied. Based on that, twoalgorithmsareproposed to reduce the cost while

keeping the accuracy at the desired level. The adaptive modeling window sizing method,

along with the upper bound on the sampling error guarantee a high order of precision in

simulating the crosstalk noise for an RLC interconnect model.

Eventually, the importance of such modeling approaches in evaluation of

communication and circuit-level techniques in the early stages of design is identified

through capturing the reliability performance of a low-power signaling scheme with

three variations of a fault-tolerant technique.

Page 36: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

12

This thesis is made up of five chapters. The motivation, problem statement, objectives

and the scope of the work are stated in the first chapter. Chapter 2 is divided into eight

sections which introduce the required background and construct the foundation for the

proposed modeling approach. The on-chip communication system modeling approach

including dynamic noise margins (DNM) thresholding for 4-PAM is proposed and

explained in the third chapter followed by the methodologies for developing the building

blocks of the proposed modeling method. Chapter 3 explains the simulation scenarios

and the communication techniques used for reliability analysis. The validity of the

proposed approach in the third Chapter is evaluated and verified in Chapter 4.

Additionally, Chapter 4 presents and discusses the results of the reliability analysis for

the simulation scenarios introduced in Chapter 3 and highlights the importance of using

more realistic models in exploring the design space. Finally, conclusions of the research

and recommendations for future works are presented in the Chapter 5.

Page 37: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

144

REFERENCES

[1] E. Mollick, “Establishing Moore’s Law,” IEEE Annals of the History of

Computing, vol. 28, no. 3, pp. 62–75, Sep. 2006.

[2] P. E. Ross, “Why CPU Frequency Stalled,” IEEE Spectrum, vol. 45, no. 4, pp.

72–72, Apr. 2008.

[3] K. Laszlo B, “End of Moore’s law: thermal (noise) death of integration in micro

and nano electronics,” Physics Letters A, vol. 305, no. 3–4, pp. 144–149, Dec.

2002.

[4] “Intel hopes 48-core chip will solve new challenges | Deep Tech - CNET News.”

[Online]. Available: http://news.cnet.com/8301-30685_3-10407818-264.html.

[Accessed: 22-Jan-2012].

[5] “Tegra 2 & Tegra 3 Super Chip Processors | NVIDIA.” [Online]. Available:

http://www.nvidia.com/object/tegra-superchip.html. [Accessed: 21-Jan-2012].

[6] S. Adee, “the data: 37 Years of Moore’s Law,” IEEE Spectrum, vol. 45, no. 5,

pp. 56–56, May 2008.

[7] “Intel Research :: Teraflops Research Chip.” [Online]. Available:

http://techresearch.intel.com/ProjectDetails.aspx?Id=151. [Accessed: 22-Jan-

2012].

[8] “Intel Research :: Single-Chip Cloud Computer.” [Online]. Available:

http://techresearch.intel.com/ProjectDetails.aspx?Id=1. [Accessed: 22-Jan-2012].

[9] R. F. van der Wijngaart, T. G. Mattson, and W. Haas, “Light-weight

communications on Intel’s single-chip cloud computer processor,” SIGOPS

Oper. Syst. Rev., vol. 45, no. 1, pp. 73–83, Feb. 2011.

[10] N. R. Shanbhag, “Reliable and Efficient System-on-Chip Design,” Computer,

vol. 37, no. 3, pp. 42–50, 2004.

[11] A. Flores, J. L. Aragón, and M. E. Acacio, “An energy consumption

characterization of on-chip interconnection networks for tiled CMP

architectures,” The Journal of Supercomputing, vol. 45, no. 3, pp. 341–364, Feb.

2008.

[12] W. J. Dally and J. W. Poulton, Digital systems engineering. Cambridge

University Press, 1998.

Page 38: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

145

[13] J. Nurmi, Interconnect-centric design for advanced SoC and NoC. Springer,

2004.

[14] K. Farzan and D. A. Johns, “A Robust 4-PAM Signaling Scheme for Inter-Chip

Links Using Coding in Space,” Very Large Scale Integration (VLSI) Systems,

IEEE Transactions on, vol. 16, pp. 1535–1544, 2008.

[15] S. Komatsu and M. Fujita, “Low Power and Fault Tolerant Encoding Methods

for On-Chip Data Transfer in Practical Applications,” IEICE Trans. Fundam.

Electron. Commun. Comput. Sci., vol. E88-A, no. 12, pp. 3282–3289, 2005.

[16] Fakhrul Zaman Rokhani and G. E. Sobelman, “Multi-level signaling for energy-

efficient on-chip interconnects,” in 2007 7th International Conference on ASIC,

Guilin, China, 2007, pp. 82–85.

[17] “Eldo Classic - Foundry Certified SPICE Accurate Circuit Simulation - Mentor

Graphics.” [Online]. Available:

http://www.mentor.com/products/ic_nanometer_design/analog-mixed-signal-

verification/eldo/. [Accessed: 05-Feb-2012].

[18] B. K. Kaushik, S. Sarkar, R. P. Agarwal, and R. C. Joshi, “An analytical

approach to dynamic crosstalk in coupled interconnects,” Microelectronics

Journal, vol. 41, no. 2–3, pp. 85–92, 2010.

[19] M. Masoumi, N. Masoumi, and A. Javanpak, “A new and efficient approach for

estimating the accurate time-domain response of single and capacitive coupled

distributed RC interconnects,” Microelectronics Journal, vol. 40, no. 8, pp.

1212–1224, 2009.

[20] K. Agarwal, D. Sylvester, and D. Blaauw, “Modeling and analysis of crosstalk

noise in coupled RLC interconnects,” Computer-Aided Design of Integrated

Circuits and Systems, IEEE Transactions on, vol. 25, no. 5, pp. 892–901, 2006.

[21] S. Tuuna, J. Isoaho, and H. Tenhunen, “Analytical model for crosstalk and

intersymbol interference in point-to-point buses,” Computer-Aided Design of

Integrated Circuits and Systems, IEEE Transactions on, vol. 25, no. 7, pp. 1400–

1410, 2006.

[22] B. K. Kaushik and S. Sarkar, “Crosstalk analysis for a CMOS gate driven

inductively and capacitively coupled interconnects,” Microelectronics Journal,

vol. 39, no. 12, pp. 1834–1842, 2008.

[23] J. Zhang and E. G. Friedman, “Crosstalk modeling for coupled RLC

interconnects with application to shield insertion,” Very Large Scale Integration

(VLSI) Systems, IEEE Transactions on, vol. 14, no. 6, pp. 641–646, 2006.

Page 39: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

146

[24] B. Halak, S. Shedabale, H. Ramakrishnan, A. Yakovlev, and G. Russell, “The

impact of variability on the reliability of long on-chip interconnect in the

presence of crosstalk,” in Proceedings of the 2008 international workshop on

System level interconnect prediction, Newcastle, United Kingdom, 2008, pp. 65–

72.

[25] K. Agarwal, M. Agarwal, D. Sylvester, and D. Blaauw, “Statistical interconnect

metrics for physical-design optimization,” IEEE Transactions on Computer-

Aided Design of Integrated Circuits and Systems, vol. 25, no. 7, pp. 1273–1288,

Jul. 2006.

[26] J. D. Bakos, D. M. Chiarulli, and S. P. Levitan, “Lightweight Error Correction

Coding for System-Level Interconnects,” IEEE Transactions on Computers, pp.

289–304, 2007.

[27] K. Farzan and D. A. Johns, “Coding schemes for chip-to-chip interconnect

applications,” IEEE Trans. Very Large Scale Integr. Syst., vol. 14, no. 4, pp.

393–406, 2006.

[28] P. Heydari and M. Pedram, “Ground bounce in digital VLSI circuits,” Very

Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 11, no. 2,

pp. 180–193, 2003.

[29] M. Popovich, E. G. Friedman, R. Secareanu, and O. L. Hartin, “On-Chip Power

Noise Reduction Techniques in High Performance SoC-Based Integrated

Circuits,” in SOC Conference, 2005. Proceedings. IEEE International, 2005, pp.

309–312.

[30] J. D. Fender and P. Leventis, “Simultaneous switching noise analysis using

superposition techniques,” Jul-2011.

[31] A. Nieuwoudt, J. Kawa, and Y. Massoud, “Crosstalk-Induced Delay, Noise, and

Interconnect Planarization Implications of Fill Metal in Nanoscale Process

Technology,” IEEE Transactions on Very Large Scale Integration (VLSI)

Systems, vol. 18, no. 3, pp. 378–391, Mar. 2010.

[32] T. Garbolino, K. Gucwa, M. Kopec, and A. Hlawiczka, “Avoiding Crosstalk

Influence on Interconnect Delay Fault Testing,” in IEEE Design and Diagnostics

of Electronic Circuits and Systems, 2007. DDECS ’07, 2007, pp. 1–4.

[33] Jinwook Jang, O. Franza, and W. Burleson, “Compact Expressions for Supply

Noise Induced Period Jitter of Global Binary Clock Trees,” IEEE Transactions

on Very Large Scale Integration (VLSI) Systems, vol. 20, no. 1, pp. 66–79, Jan.

2012.

Page 40: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

147

[34] M. Saint-Laurent and M. Swaminathan, “Impact of power-supply noise on

timing in high-frequency microprocessors,” IEEE Transactions on Advanced

Packaging, vol. 27, no. 1, pp. 135– 144, Feb. 2004.

[35] Jing Wang, D. M. H. Walker, Ananta Majhi, Bram Kruseman, Guido

Gronthoud, Luis Elvira Villagra, Paul van de Wiel, and Stefan Eichenberger,

“Power Supply Noise in Delay Testing,” in Test Conference, 2006. ITC ’06.

IEEE International, 2006, pp. 1–10.

[36] M. N. Skoufis, Haibo Wang, T. Haniotakis, and S. Tragoudas, “Glitch Control

with Dynamic Receiver Threshold Adjustment,” in 8th International Symposium

on Quality Electronic Design, 2007. ISQED ’07, 2007, pp. 410–415.

[37] V. Zolotov, D. Blaauw, S. Sirichotiyakul, M. Becer, C. Oh, R. Panda, A.

Grinshpon, and R. Levy, “Noise propagation and failure criteria for VLSI

designs,” in Proceedings of the 2002 IEEE/ACM international conference on

Computer-aided design, San Jose, California, 2002, pp. 587–594.

[38] S. H. Choi, B. C. Paul, and K. Roy, “Dynamic noise analysis with capacitive

and inductive coupling,” in Proceedings of the 2002 Asia and South Pacific

Design Automation Conference, 2002, p. 65.

[39] A. B. Kahng, S. Muddu, and D. Vidhani, “Noise and delay uncertainty studies

for coupled RC interconnects,” in ASIC/SOC Conference, 1999. Proceedings.

Twelfth Annual IEEE International, 1999, pp. 3–8.

[40] Jae-Seok Yang and A. R. Neureuther, “Crosstalk Noise Variation Assessment

and Analysis for the Worst Process Corner,” in 9th International Symposium on

Quality Electronic Design, 2008. ISQED 2008, 2008, pp. 352–356.

[41] F. Z. Rokhani, W. C. Kan, J. Kieffer, and G. E. Sobelman, “Optimality of bus-

invert coding,” Circuits and Systems II: Express Briefs, IEEE Transactions on,

vol. 55, no. 11, pp. 1134–1138, 2008.

[42] D. Bertozzi, L. Benini, and G. de Micheli, “Low Power Error Resilient

Encoding for On-Chip Data Buses,” in Proceedings of the conference on Design,

automation and test in Europe, 2002, p. 102.

[43] R. Kumar, “Interconnect and noise immunity design for the Pentium® 4

processor,” in Design Automation Conference, 2003. Proceedings, 2003, pp.

938– 943.

[44] D. Somasekhar, S. H. Choi, K. Roy, Y. Ye, and V. De, “Dynamic noise analysis

in precharge-evaluate circuits,” in Proceedings of the 37th Annual Design

Automation Conference, 2000, pp. 243–246.

Page 41: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

148

[45] Li Ding and P. Mazumder, “Dynamic noise margin: definitions and model,” in

17th International Conference on VLSI Design, 2004. Proceedings, 2004, pp.

1001– 1006.

[46] J. S. Yuan and Li Yang, “Teaching digital noise and noise margin issues in

engineering education,” IEEE Transactions on Education, vol. 48, no. 1, pp.

162– 168, Feb. 2005.

[47] F. Worm, P. Ienne, P. Thiran, and G. D. Micheli, “On-Chip Self-Calibrating

Communication Techniques Robust to Electrical Parameter Variations,” IEEE

Des. Test, vol. 21, no. 6, pp. 524–535, 2004.

[48] D. Bertozzi, L. Benini, and G. De Micheli, “Error Control Schemes for On-chip

Communication Links: the energy-reliability trade-off,” IEEE Transactions on

Computer Aided Design, vol. 24, no. 6, pp. 818–831, 2005.

[49] R. Hegde and N. R. Shanbhag, “Toward achieving energy efficiency in presence

of deep submicron noise,” IEEE Trans. Very Large Scale Integr. Syst., vol. 8, no.

4, pp. 379–391, 2000.

[50] A. Ganguly, P. P. Pande, and B. Belzer, “Crosstalk-Aware Channel Coding

Schemes for Energy Efficient and Reliable NOC Interconnects,” IEEE

Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 11,

pp. 1626–1639, Nov. 2009.

[51] G. Nagendra Babu, D. Agarwal, B. K. Kaushik, S. K. Manhas, and B. Kumar,

“Crosstalk avoidance in RLC modeled interconnects using low power encoder,”

in 2011 IEEE Recent Advances in Intelligent Computational Systems (RAICS),

2011, pp. 921–924.

[52] M. S. Rahaman and M. H. Chowdhury, “Crosstalk avoidance and error-

correction coding for coupled RLC interconnects,” in IEEE International

Symposium on Circuits and Systems, 2009. ISCAS 2009, 2009, pp. 141–144.

[53] S. R. Sridhara and N. R. Shanbhag, “Coding for Reliable On-Chip Buses: A

Class of Fundamental Bounds and Practical Codes,” IEEE Transactions on

Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 5, pp.

977–982, May 2007.

[54] S. R. Sridhara and N. R. Shanbhag, “Coding for system-on-chip networks: a

unified framework,” IEEE Transactions on Very Large Scale Integration (VLSI)

Systems, vol. 13, no. 6, pp. 655–667, Jun. 2005.

Page 42: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

149

[55] J. C. Liao, O. A. Palusinski, and J. L. Prince, “Computation of transients in

lossy VLSI packaging interconnections,” in Electronic Components and

Technology Conference, 1990. ., 40th, 1990, pp. 173–179 vol.1.

[56] K. Farzan and D. A. Johns, “A low-complexity power-efficient signaling

scheme for chip-to-chip communication,” in Proceedings of the 2003

International Symposium on Circuits and Systems, 2003. ISCAS ’03, 2003, vol.

5, p. V–77– V–80 vol.5.

[57] “Cadence Virtuoso Spectre Circuit Simulator.” [Online]. Available:

http://www.cadence.com/products/cic/spectre_circuit/pages/default.aspx.

[Accessed: 24-Jan-2012].

[58] C. Christopoulos, The transmission-line modeling method: TLM. Institute of

Electrical and Electronics Engineers, 1995.

[59] B. Wong, A. Mittal, Y. Cao, and G. W. Starr, Nano-CMOS Circuit and Physical

Design, 1st ed. Wiley-Interscience, 2004.

[60] M. R. Becer, D. Blaauw, V. Zolotov, R. Panda, and I. N. Hajj, “Analysis of

noise avoidance techniques in DSM interconnects using a complete crosstalk

noise model,” in Design, Automation and Test in Europe Conference and

Exhibition, 2002. Proceedings, 2002, pp. 456–463.

[61] C. E. Shannon, “A mathematical theory of communication,” SIGMOBILE Mob.

Comput. Commun. Rev., vol. 5, no. 1, pp. 3–55, 2001.

[62] S. Benedetto, G. Montorsi, and D. Divsalar, “Concatenated convolutional codes

with interleavers,” Communications Magazine, IEEE, vol. 41, no. 8, pp. 102–

109, 2003.

[63] H. J. Liaw, G. J. Yeh, P. S. Chau, and G. Pitner, “A 1.6 Gbit/s/pin Multilevel

Parallel Interconnection,” presented at the High-Performance System Design

Conference.

[64] J. L. Zerbe, P. S. Chau, C. W. Werner, T. P. Thrush, H. J. Liaw, B. W. Garlepp,

and K. S. Donnelly, “1.6 Gb/s/pin 4-PAM signaling and circuits for a multidrop

bus,” IEEE Journal of Solid-State Circuits, vol. 36, no. 5, pp. 752–760, May

2001.

[65] A. A. Forooshani, F. Z. Rokhani, K. Samsudin, and H. Behzadipour, “Power -

Reliability tradeoff in low power 4-PAM signaling in on-chip communication,”

in 2011 3rd Asia Symposium on Quality Electronic Design (ASQED), 2011, pp.

109–114.

Page 43: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

150

[66] F. Z. Rokhani and G. E. Sobelman, “Bus Energy Consumption for Multilevel

Signals,” IEEE Trans. Circuits Syst. I, vol. 57, no. 1, pp. 64–71, Jan. 2010.

[67] J.-M. Philippe, S. Pillement, and O. Sentieys, “A low-power and high-speed

quaternary interconnection link using efficient converters,” in Circuits and

Systems, 2005. ISCAS 2005. IEEE International Symposium on, 2005, pp. 4689–

4692 Vol. 5.

[68] B. Casper, G. Balamurugan, J. E. Jaussi, J. Kennedy, M. Mansuri, F.

O’Mahony, and R. Mooney, “Future Microprocessor Interfaces: Analysis,

Design and Optimization,” 2007, pp. 479–486.

[69] L. Song, M.-L. Yu, and M. S. Shaffer, “10- and 40-Gb/s forward error

correction devices for optical communications,” IEEE Journal of Solid-State

Circuits, vol. 37, no. 11, pp. 1565–1573, 2002.

[70] Y. Maeda and H. Kaneko, “Error Control Coding for Multilevel Cell Flash

Memories Using Nonbinary Low-Density Parity-Check Codes,” in 24th IEEE

International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009.

DFT ’09, 2009, pp. 367–375.

[71] Hyojin Choi, Wei Liu, and Wonyong Sung, “VLSI Implementation of BCH

Error Correction for Multilevel Cell NAND Flash Memory,” IEEE Transactions

on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 5, pp. 843–847,

May 2010.

[72] R. W. Hamming, “Error detecting and error correcting codes,” Bell System

Technical Journal, vol. 29, no. 2, pp. 147–160, 1950.

[73] J. A. Davis and J. D. Meindl, Eds., Interconnect Technology and Design for

Gigascale Integration, 1st ed. Springer, 2003.

[74] Shyh-Chyi Wong, Gwo-Yann Lee, and Dye-Jyun Ma, “Modeling of

interconnect capacitance, delay, and crosstalk in VLSI,” IEEE Transactions on

Semiconductor Manufacturing, vol. 13, no. 1, pp. 108–111, Feb. 2000.

[75] “International Technology Roadmap for Semiconductors, 2010 Update.”

[Online]. Available: http://www.itrs.net/Links/2010ITRS/Home2010.htm.

[Accessed: 13-Jan-2012].

[76] J. M. Rabaey, A. P. Chandrakasan, and B. Nikolic, Digital integrated circuits: a

design perspective. Pearson Education, 2003.

[77] A. Roy and M. H. Chowdhury, “Analysis of the impacts of signal rise/fall time

and skew variations in coupled-RLC interconnects,” in IEEE International

Symposium on Circuits and Systems, 2008. ISCAS 2008, 2008, pp. 2426–2429.

Page 44: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

151

[78] R. Singh, Ed., Signal Integrity Effects in Custom IC and ASIC Designs, 1st ed.

Wiley-IEEE Press, 2001.

[79] Taehoon Kim and Yungseon Eo, “Analytical CAD Models for the Signal

Transients and Crosstalk Noise of Inductance-Effect-Prominent Multicoupled

Interconnect Lines,” IEEE Transactions on Computer-Aided Design of

Integrated Circuits and Systems, vol. 27, no. 7, pp. 1214–1227, Jul. 2008.

[80] P.-H. Chang and J.-M. Chen, “Decoupling technique on switch factor based

analysis of RLC interconnects,” in 2007 IEEE International Conference on

Electro/Information Technology, EIT 2007, 2007, pp. 73–78.

[81] Yu Cao, Xiaodong Yang, Xuejue Huang, and D. Sylvester, “Switch-factor based

loop RLC modeling for efficient timing analysis,” IEEE Transactions on Very

Large Scale Integration (VLSI) Systems, vol. 13, no. 9, pp. 1072–1078, Sep.

2005.

[82] S. Tuuna, L. R. Zheng, J. Isoaho, and H. Tenhunen, “Modeling of on-chip bus

switching current and its impact on noise in power supply grid,” Very Large

Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 16, no. 6, pp. 766–

770, 2008.

[83] C. E. Shannon, “Communication in the Presence of Noise,” Proceedings of the

IRE, vol. 37, no. 1, pp. 10– 21, Jan. 1949.

[84] T. K. Moon and W. C. Stirling, Mathematical Methods and Algorithms for

Signal Processing. Prentice Hall, 1999.

[85] S. M. Ross, Introduction to probability models. Academic Press, 2007.

[86] “FreePDK - NCSU EDA Wiki.” [Online]. Available:

http://www.eda.ncsu.edu/wiki/FreePDK. [Accessed: 12-Mar-2011].

[87] F. Z. Rokhani and G. E. Sobelman, “Bus energy consumption for multilevel

signals,” Trans. Cir. Sys. Part I, vol. 57, no. 1, pp. 64–71, 2010.

[88] Hung Tien Bui, A. Al-Sheraidah, and Yuke Wang, “Design and analysis of 10-

transistor full adders using novel XOR-XNOR gates,” in 5th International

Conference on Signal Processing Proceedings, 2000. WCCC-ICSP 2000, 2000,

vol. 1, pp. 619–622 vol.1.

[89] A. S. Sedra and K. C. Smith, Microelectronic Circuits, 6th ed. Oxford

University Press, USA, 2009.

[90] “Cadence Design Systems.” [Online]. Available:

http://www.cadence.com/us/pages/default.aspx. [Accessed: 12-Mar-2011].

Page 45: UNIVERSITI PUTRA MALAYSIA - core.ac.uk fileantara komponen semakin mengambil alih laluan sistem kritikal dan kerap menjadi asas kepada kelambatan prestasi. Variasi teknik pada peringkat

© COPYRIG

HT UPM

152

[91] C. A. Desoer, Basic Circuit Theory, 1St ed. Mcgraw-Hill College, 1969.

[92] H. J. . Veendrick, “Short-circuit dissipation of static CMOS circuitry and its

impact on the design of buffer circuits,” IEEE Journal of Solid-State Circuits,

vol. 19, no. 4, pp. 468– 473, Aug. 1984.