laporan praktikum fpga modul 4

Upload: desi-musriani

Post on 07-Jul-2018

275 views

Category:

Documents


2 download

TRANSCRIPT

  • 8/18/2019 Laporan Praktikum FPGA Modul 4

    1/10

    LAPORAN PRAKTIKUM MODUL 4. SEVEN SEGMENT DECODER Desi Musriani (4211301002), Dedy Prayetno (4211301006)

    MK4604-Sistem Embeded

    Program Studi Mekatronika Politeknik Negeri Batam

    1. PENDAHULUANPada praktikum ini menggunakan 7-segment-decoder pada board DE-1SoC. Percobaan ini dilakukan

    perancangan hardware yaknimempraktekkan desain rangkaian Mux

    3-to-1 dan Decoder 7 segmentmenggunakan VHDL dengan metode behavioral untuk memunculkankarakter pada 7 segment decoder yangdibuat menggunakan Altera Quartus IIdan diimplementasikan pada chipFPGA yakni board DE1 SoC.

    2. STUDI PUSTAKAVHDL adalah kependekan dari Very

    High Speed Integrated Circuit Hardware Description Language, yaitu

    bahasa pemrograman yang digunakanuntuk mendeskripsikan logic circuityang dikehendaki. Secara umumstruktur dari pemrograman VHDLterdiri atas dua bagian yaitu bagianentity dan bagian architecture .[1]

    Bagian entity menjelaskan spesifikasi pin-pin eksternal yang digunakan daricircuit atau rancangan yang akandibuat. Entity memberikan arti tentang

    bagaimana sebuah bagian rancangandideskripsikan di VHDL dalamhubungannya dengan model VHDLlain dan juga memberikan nama untukmodel tersebut. Di dalam entityjugadiperbolehkan untuk mendefinisikan

    beberapa parameter yang mengambilmodel menggunakan hierarki. [2]

    Bagian architecture menjelaskan ataumewakili fungsi sesungguhnya dari

    circuit atau rangkaian. Contoh :

    8-bit Comparator

    Ada tiga cara untuk mendiskripsikanCircuit dalam VHDL:

  • 8/18/2019 Laporan Praktikum FPGA Modul 4

    2/10

    1. BehaviouralDidesain berdasarkan Algorithma

    2. Dataflow (RTL)Didesain berdasarkan alur register

    data3. StructuralDidesain berdasarkan perkomponendan merangkai komponen tersebut.

    Contoh Deskripsi behavioural

    Contoh Deskripsi DataFlow

    Contoh Deskripsi Structural

    Tipe data yang ada dalam pemrograman VHDL yaitu :

    Pengenalan FPGA Field Programmable Gate Array

    (FPGA) merupakan sebuah IC digitalyang sering digunakan untukmengimplementasikan rangkaiandigital. Bila dilihat dari segi namanya,

    Field Programmable dapat diartikan bahwa FPGA ini bersifat dapatdirancang sesuai dengan keinginan dankebutuhan user atau pemakai tanpamelalui tahap “ burn ” di laboratoriumatau di “ hardware ” oleh pabrik piranti.Sedangkan Gate Array artinya bahwa

    FPGA ini terdiri atas gerbang-gerbangdigital dimana interkoneksi masing-

  • 8/18/2019 Laporan Praktikum FPGA Modul 4

    3/10

    masing gerbang tersebut dapatdikonfigurasikan antara satu samalainnya.

    FPGA merupakan sebuah IC digital

    yang bersifat programmable . Pemakaidapat memakai IC digital ini secara berulang-ulang untuk menyesuaikan program apa yang akan didownload kedalam FPGA ini. Program tersebutnantinya akan dibuat oleh pemakaimenggunakan software yang ada untukkemudian disimulasikan. Setelahsimulasi berjalan lancar dan berhasil,

    program tersebut siap untukdidownload ke dalam FPGA, begitumudah, efisien dan sederhana. Ketika

    program tersebut gagal untukdisimulasikan, pemakai hanya perlumenyusun ulang program dalamkomputer sesuai yang dibutuhkan danketika siap, download lagi programtersebut ke FPGA, begitu seterusnya.

    Selain itu, FPGA ini bersifat

    volatile, yang artinya ketika sumberdaya yang mensuplainya dicabut makasecara otomatis FPGA akan kehilanganfungsinya. Jadi FPGA ini tidak mampumenyimpan program ketika supplytenaganya dicabut. User atau pemakaiharus mendownload ulang program kedalam FPGA lagi untuk implementasi

    program tersebut. [3] Penggunaan board DE1-SoC

    Board DE1-SoC telah dikoneksikan pada board PCB dengan beberapaswitch (SW) dan lampu (LEDR). Pada

    project Quartus II, penggunaan SW9-0dan LEDR9-0 pada dengan mengakses

    pin yang tepat dan dapat dilihat padaDE1-SoC User Manual. Pada tipe

    board yang berbeda, nomor PIN diFPGA dan peripheral yang tersedia

    akan berbeda. Misalnya, pada manualdispesifikasikan bahwa SW0

    dikoneksikan ke FPGA melalui pinAB12 dan LEDR0 dikoneksikan ke pinV16. [5] Tabel 1. Assignment PIN untuk switch

    geser pada DE1 SoC

    Tabel 2. Assignment PIN untuk LED pada DE1 SoC

    Seven Segment Display Seven Segment Display dalam

    bahasa Indonesia disebut dengan LayarTujuh Segmen adalah komponenElektronika yang dapat menampilkanangka desimal melalui kombinasi-kombinasi segmennya. Seven Segment

    Display memiliki 7 segmen dimanasetiap segmen dikendalikan secara ONdan OFF untuk menampilkan angkayang diinginkan. Angka-angka dari 0sampai 9 dapat ditampilkan denganmenggunakan beberapa kombinasiSegmen.

    Selain 0 – 9, Seven SegmentDisplay juga dapat menampilkan HurufHexadecimal dari A sampai F. Segmenatau elemen-elemen pada Seven

    Segment Display diatur menjadi bentukangka “8” yang agak miring ke kanan

  • 8/18/2019 Laporan Praktikum FPGA Modul 4

    4/10

    dengan tujuan untuk mempermudah pembacaannya. Pada beberapa jenisSeven Segment Display , terdapat juga

    penambahan “titik” yang menunjukan

    angka koma decimal. Terdapat beberapa jenis Seven Segment Display ,diantaranya adalah Incandescent bulbs,

    Fluorescent lamps (FL), Liquid Crystal Display (LCD) dan Light Emitting Diode (LED). [4]

    Prinsip Kerja Dasar Driver System pada L ED 7 Segmen

    Berikut ini adalah Blok Diagram Dasaruntuk mengendalikan LED 7 Segmen:

    Blok Dekoder pada diagram diatasmengubah sinyal Input yang diberikanmenjadi 8 jalur yaitu “a” sampai “g” dan

    poin decimal untuk mengaktifkansegmen sehingga menghasilkan angkaatau digit yang diinginkan. Contohnya,

    jika output dekoder adalah a, b, dan c,maka Segmen LED akan menyalamenjadi angka “7”.

    Jika Sinyal Input adalah berbentuk

    Analog, maka diperlukan ADC (Analogto Digital Converter) untuk mengubahsinyal analog menjadi Digital sebelummasuk ke Input Dekoder. Jika SinyalInput sudah merupakan Sinyal Digital,maka Dekoder akan menanganinyasendiri tanpa harus menggunakan ADC.

    Rangkaian digital dapat didesaindari gabungan beberapa gerbang logikauntuk fungsi tertentu, contohnyamultiplexer. Rangkaian multiplexer

    terdiri atas beberapa input data, satu ataulebih input selector, dan sebuah outputrangkaian. Multiplexer berfungsi untukmelewatkan sinyal dari salah satu input

    data ke output berdasarkan data dariselector.

    Multiplekser dapat dideskripsikandengan kode VHDL berikut ini:

    f

  • 8/18/2019 Laporan Praktikum FPGA Modul 4

    5/10

    4. HASIL DAN ANALISISPercobaan APin Assignment:

    Kode VHDL:

    Hasil:C[0] 0 dan C[1] 0

    C[0] 1 dan C[1] 0

    C[0] 0 dan C[1] 1

    C[0] 1 dan C[1] 1Tidak ada yang hidup

    Penjelasan:Pada praktikum kali ini, digunakan 7segment untuk mengeluarkan output dari

    boar FPGA. Pada percobaan A.menggunakan metode behavioral yangdimana digunakan selector untukmenentukan karakter apa yang akanditampilkan pada 7 segment. sebelummemulai memasukkan program ke

    board. Lebih dahulu kita setting output 7segment dan input boardnya. Kemudiankita upload programnya.

    Program yang dibuat kali inimenggunakan metode behavioral, yangdimana menggunakan fungsi “case ” yang dimana fungsi ini untukmenyeleksi aksi apa yang akandilakukan selanjutnya.

  • 8/18/2019 Laporan Praktikum FPGA Modul 4

    6/10

    Percobaan BPin Assignment:

    Kode VHDL:

    Hasil:C[0] 0 dan C[1] 0, sevena [0] 0 dansevena [1] 1 Maka:

    C[0] 0 dan C[1] 0, sevena [0] 1 dansevena [1] 0 Maka:

    C[0] 0 dan C[1] 0, sevena [0] 1 dansevena [1] 1 Maka:

    C[0] 1 dan C[1] 0, sevenb [0] 1 dansevena [1] 0 Maka:

    C[0] 1 dan C[1] 0, sevenb [0] 0 dansevena [1] 1 Maka:

  • 8/18/2019 Laporan Praktikum FPGA Modul 4

    7/10

    C[0] 1 dan C[1] 0, sevenb [0] 1 dansevena [1] 1 Maka:

    C[0] 0 dan C[1] 1, sevenc [0] 1 dansevenc [1] 0 Maka:

    C[0] 0 dan C[1] 1, sevenc [0] 0 dansevenc [1] 1 Maka:

    C[0] 0 dan C[1] 1, sevenc [0] 1 dansevenc [1] 1 Maka:

    C[0] 1 dan C[1] 1 Maka:

    Penjelasan:Pada percobaan kedua, kurang lebihhampir sama dengan percobaan pertama,yakni masih menggunakanselector.perbedaan nya ialah, selectordigunakan untuk menentukan switch

    mana yang akan aktif.

    Terdapat tiga buah pasang switch yangdigunakan untuk menampilkan

    bermacam-macam karakter. Sehinggasetiap kondisi akan menampilkankarakter yang berbeda-beda pula.

    Percobaan CPin Assignment:

  • 8/18/2019 Laporan Praktikum FPGA Modul 4

    8/10

    Kode VHDL Mux 2 bit 3-to-1:

    Kode VHDL (top level) yangmenghubungkan antara Multiplekserdan Decoder:

    Hasil:C[0] 0 dan C[1] 0 dan SW[0] 0 danSW[1] 0 Maka:

    C[0] 0 dan C[1] 0 dan SW[0] 0 danSW[1] 1 Maka:

    C[0] 0 dan C[1] 0 dan SW[0] 1 danSW[1] 0 Maka:

    C[0] 0 dan C[1] 1 dan SW[0] 0 danSW[1] 0 Maka:

  • 8/18/2019 Laporan Praktikum FPGA Modul 4

    9/10

    C[0] 0 dan C[1] 1 dan SW[0] 0 danSW[1] 1 Maka:

    C[0] 0 dan C[1] 1 dan SW[0] 1 danSW[1] 0 Maka:

    C[0] 1 dan C[1] 0 dan SW[0] 0 danSW[1] 0 Maka:

    C[0] 1 dan C[1] 0 dan SW[0] 0 danSW[1] 1 Maka:

    C[0] 0 dan C[1] 0 dan SW[0] 1 danSW[1] 0 Maka:

    Selain Kondisi diatas, makaoutputnya akan menampilkan“ Blank ” .

    Penjelasan:Pada percobaan kali ini, sama sepertidengan percobaan pertama dimanamenggunakan selector. Dan prinsipkerjanya hampir sama dengan percobaankedua yang dimana selector digunakanuntuk menghidupkan / mengaktifkanswitch mana yang akan menampilkanhasilnya di 7 segment.

    Pada percobaan kali ini, menggunakanmetode behavioral yang dimanarangkaian yang digunakan ialahmultiplexer.

    KESIMPULAN:Kesimpulan yang dapat diambil para

    praktikum kali ini ialah:- 7 segment merupakan komponen

    yang terdiri dari 7 pin led yangdimana disusun sedemikian rupauntuk membentuk suatu karakter.

    - Metode yang digunakan untukmenampilkan 7 segment ialah

    behavioral.- Pengaturan pin untuk 7 segment dan

    input board dilakukan sebelummengupload program.

    - Rangkaian Multiplexer digunakanuntuk menampilkan bermacam-macam karakter.

  • 8/18/2019 Laporan Praktikum FPGA Modul 4

    10/10

    DAFTAR PUSTAKA[1] taufiq.lecturer.pens.ac.id diakses

    pada tanggal 6 Maret 2016, jam 17:00WIB

    [2]http://ndoware.com/mengenal-vhdl.html diakses pada tanggal 6 Maret2016, jam 17:20 WIB

    [3]http://ndoware.com/sekilas-tentang-fpga.html diakses pada 12 Maret 2016,

    jam 11:00 WIB

    [4]http://teknikelektronika.com/pengertian-seven-segment-display-layar-tujuh-segmen/ diakses pada 20 Maret 2016,

    jam 20:10 WIB

    [5] Nur Sakinah Asaad, Modul 3.Penggunaan FPGA, MK4604, CatatanKuliah, 2016

    http://ndoware.com/mengenal-vhdl.htmlhttp://ndoware.com/mengenal-vhdl.htmlhttp://ndoware.com/mengenal-vhdl.htmlhttp://ndoware.com/mengenal-vhdl.htmlhttp://ndoware.com/sekilas-tentang-fpga.htmlhttp://ndoware.com/sekilas-tentang-fpga.htmlhttp://ndoware.com/sekilas-tentang-fpga.htmlhttp://ndoware.com/sekilas-tentang-fpga.htmlhttp://teknikelektronika.com/pengertian-seven-segment-display-layar-tujuh-segmen/http://teknikelektronika.com/pengertian-seven-segment-display-layar-tujuh-segmen/http://teknikelektronika.com/pengertian-seven-segment-display-layar-tujuh-segmen/http://teknikelektronika.com/pengertian-seven-segment-display-layar-tujuh-segmen/http://teknikelektronika.com/pengertian-seven-segment-display-layar-tujuh-segmen/http://teknikelektronika.com/pengertian-seven-segment-display-layar-tujuh-segmen/http://teknikelektronika.com/pengertian-seven-segment-display-layar-tujuh-segmen/http://teknikelektronika.com/pengertian-seven-segment-display-layar-tujuh-segmen/http://ndoware.com/sekilas-tentang-fpga.htmlhttp://ndoware.com/sekilas-tentang-fpga.htmlhttp://ndoware.com/mengenal-vhdl.htmlhttp://ndoware.com/mengenal-vhdl.html